The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for generator with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1961 (15) 1962-1963 (15) 1964-1967 (17) 1968-1969 (27) 1970 (18) 1971-1973 (20) 1974-1976 (27) 1977-1978 (22) 1979 (17) 1980 (18) 1981-1982 (38) 1983 (18) 1984 (30) 1985 (45) 1986 (44) 1987 (44) 1988 (73) 1989 (74) 1990 (113) 1991 (77) 1992 (84) 1993 (82) 1994 (86) 1995 (132) 1996 (127) 1997 (109) 1998 (144) 1999 (202) 2000 (203) 2001 (227) 2002 (277) 2003 (349) 2004 (388) 2005 (513) 2006 (556) 2007 (558) 2008 (585) 2009 (486) 2010 (345) 2011 (336) 2012 (369) 2013 (337) 2014 (419) 2015 (409) 2016 (399) 2017 (472) 2018 (544) 2019 (637) 2020 (658) 2021 (662) 2022 (645) 2023 (705) 2024 (179)
Publication types (Num. hits)
article(5058) book(9) data(7) incollection(67) inproceedings(7773) phdthesis(62)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4643 occurrences of 2387 keywords

Results
Found 12977 publication records. Showing 12976 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Erick Maxwell, Thomas Weller, Jeffrey Harrow A Tunable Ultra-Wideband Pulse Generator Using a Variable Edge-Rate Signal. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Daisuke Atuti, Takashi Morie, Kazuyuki Aihara A Current-Sampling-Mode Arbitrary Chaos Generator Circuit Using Pulse Modulation Approach Driven by Quantized Nonlinear Waveforms. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rosario Gennaro An Improved Pseudo-Random Generator Based on the Discrete Logarithm Problem. Search on Bibsonomy J. Cryptol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete logarithm, Pseudorandomness
18Dhiraj K. Pradhan, Chunsheng Liu EBIST: a novel test generator with built-in fault detection capability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nina Brandstätter, Arne Winterhof Some notes on the two-prime generator of order 2. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alessandro Coglio, Cordell Green A Constructive Approach to Correctness, Exemplified by a Generator for Certified Java Card Applets. Search on Bibsonomy VSTTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Prassanna Sithambaram, Alberto Macii, Enrico Macii Design and Implementation of a Memory Generator for Low-Energy Application-Specific Block-Enabled SRAMs. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nathalie Rose T. Lim, Cheryl Anne G. Cordova, Christie Diane Y. Lopez, Carissa P. Recto ANSI C Program Slicing Tool and Text Generator for an Interactive Learning Environment. Search on Bibsonomy ICALT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Holger Krahn, Bernhard Rumpe Techniques for Lightweight Generator Refactoring. Search on Bibsonomy GTTSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Aline Gouget, Hervé Sibert, Côme Berbain, Nicolas T. Courtois, Blandine Debraize, Chris J. Mitchell Analysis of the Bit-Search Generator and Sequence Compression Techniques. Search on Bibsonomy FSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Wei-Ta Chen, Jen-Chien Hsu, Hong-Wen Lune, Chauchin Su A spread spectrum clock generator for SATA-II. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yoshihiko Kataoka A smooth power flow model of electric power system with generator reactive power limits taken into consideration. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Cristiano Lazzari, Lorena Anghel, Ricardo A. L. Reis On Implementing a Soft Error Hardening Technique by Using an Automatic Layout Generator: Case Study. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Dhiraj K. Pradhan, Dimitri Kagaris, Rohit Gambhir A Hamming Distance Based Test Pattern Generator with Improved Fault Coverage. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Dominik Jochinger, Franz Pichler A New Pseudo-Random Generator Based on Gollmann Cascades of Baker-Register-Machines. Search on Bibsonomy EUROCAST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yosef Rauchwerger, Finn Kristoffersen, Yair Lahav Cinderella SLIPPER: An SDL to C-Code Generator. Search on Bibsonomy SDL Forum The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hans-Dieter Wohlmuth, Daniel Kehrer A low power 13-Gb/s 2^7-1 pseudo random bit sequence generator IC in 120 nm bulk CMOS. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF flip-flop, pseudo random, CML
18Souradyuti Paul, Bart Preneel A New Weakness in the RC4 Keystream Generator and an Approach to Improve the Security of the Cipher. Search on Bibsonomy FSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chih-Da Chien, Chien-Chang Lin, Jiun-In Guo, Tien-Fu Chen A power-aware IP core generator for the one-dimensional discrete Fourier transform. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Vaithianathan Venkatasubramanian, Rajesh G. Kavasseri Direct Computation of Generator Internal Dynamic States from Terminal Measurements. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Synchronous machine models, power system dynamics, power system models, power system controls
18Hyun Woo Kim, Kyoung Jun Lee Criteria of Good Project Network Generator and Its Fulfillment Using a Dynamic CBR Approach. Search on Bibsonomy ECCBR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Christoph Walther, Stephan Schweitzer A Machine-Verified Code Generator. Search on Bibsonomy LPAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yannis Smaragdakis A Personal Outlook on Generator Research (A Position Paper). Search on Bibsonomy Domain-Specific Program Generation The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Bilge Saglam Akgul, Vincent John Mooney III PARLAK: Parametrized Lock Cache Generator. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Khoo Boon Tian, Sourav S. Bhowmick, Sanjay Madria VACXENE: A User-Friendly Visual Synthetic XML Generator. Search on Bibsonomy ER (Workshops) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Rei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li 0001 A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ali Kanso Clock-Controlled Shrinking Generator of Feedback Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Patrik Ekdahl, Willi Meier, Thomas Johansson 0001 Predicting the Shrinking Generator with Fixed Connections. Search on Bibsonomy EUROCRYPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Bah-Hwee Gwee, Joseph Sylvester Chang, Victor Adrian, H. Amir A novel sampling process and pulse generator for a low distortion digital pulse-width modulator for digital class D amplifiers. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Atsushi Suzuki, Shoji Kawahito, Daisuke Miyazaki, Masanori Furuta A digitally skew correctable multi-phase clock generator using a master-slave DLL. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Lixin Yang, Jiren Yuan An arbitrarily skewable multiphase clock generator combining direct interpolation with phase error average. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Michael Epstein, Laszlo Hars, Raymond Krasinski, Martin Rosner, Hao Zheng Design and Implementation of a True Random Number Generator Based on Digital Circuit Artifacts. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Niloy Ganguly, Anindyasundar Nandi, Sukanta Das, Biplab K. Sikdar, Parimal Pal Chaudhuri An Evolutionary Strategy To Design An On-Chip Test Pattern Generator Without Prohibited Pattern Set (PPS). Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18José Antonio Ruz Hernández, Dionisio A. Suárez Cerda, Evgen Shelomov, Alejandro Villavicencio Ramírez Predictive Control Based on an Auto-regressive Neuro-fuzzy Model Applied to the Steam Generator Startup Process at a Fossil Power Plant. Search on Bibsonomy MICAI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Geoff Cox Generator: the dialectics of orderly disorder. Search on Bibsonomy Creativity & Cognition The full citation details ... 2002 DBLP  DOI  BibTeX  RDF disorder, generative, art, order, dialectics
18Lixin Yang, Yijun Zhou, Jiren Yuan A non-feedback multiphase clock generator. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Toshiyuki Okayasu, Masakatsu Suda, Kazuhiro Yamamoto CMOS Circuit Technology for Precise GHz Timing Generator. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Niloy Ganguly, Biplab K. Sikdar, Parimal Pal Chaudhuri Design of an On-Chip Test Pattern Generator without Prohibited Pattern Set (PPS). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Thomas E. Tkacik A Hardware Random Number Generator. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Wei Cui, He Chen, Yueqiu Han VLSI implementation of universal random number generator. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jinghuan Chen, Jaekyun Moon, Kia Bazargan A reconfigurable FPGA-based readback signal generator for hard-drive read channel simulator. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Wei-Lun Wang, Kuen-Jong Lee, Jhing-Fa Wang An on-chip march pattern generator for testing embedded memory cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Erik Zenner, Matthias Krause 0001, Stefan Lucks Improved Cryptanalysis of the Self-Shrinking Generator. Search on Bibsonomy ACISP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Antti Heiskanen, Antti Mäntyniemi, Timo Rahkonen A 30 MHz DDS clock generator with sub-ns time domain interpolator and -50 dBc spurious level. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Huiyun Li, Bah-Hwee Gwee, Joseph Sylvester Chang A digital Class D amplifier design embodying a novel sampling process and pulse generator. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18S. S. Bedi, N. Rajesh Pillai Cryptanalysis of the Nonlinear FeedForward Generator. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Serge Bernard, Florence Azaïs, Yves Bertrand, Michel Renovell Analog BIST Generator for ADC Testing. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Abdellatif Rahmoun, Sid-Ahmed Berrani A Genetic-Based Neuro-Fuzzy Generator: NEFGEN. Search on Bibsonomy AICCSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Biplab K. Sikdar, Purnabha Majumder, Monalisa Mukherjee, Parimal Pal Chaudhuri, Debesh K. Das, Niloy Ganguly Hierarchical Cellular Automata As An On-Chip Test Pattern Generator. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Bertrand Coüasnon DMOS: A Generic Document Recognition Method, Application to an Automatic Generator of Musical Scores, Mathematical Formulae and Table Structures Recognition Systems. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Martin Schmidt 0001, Zbigniew Michalewicz Test-Case Generator TCG-2 for Nonlinear Parameter Optimisation. Search on Bibsonomy PPSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Christophe Frey, F. Genevaux, C. Issartel, D. Turgis, Jean-Pierre Schoellkopf A Low Voltage Embedded Single Port SRAM Generator in a 0.18µm Standard CMOS Process. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Spyros Tragoudas, Dimitrios Karayiannis A fast nonenumerative automatic test pattern generator for pathdelay faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Laurent Fournier, Yaron Arbetman, Moshe Levinger Functional Verification Methodology for Microprocessors Using the Genesys Test-Program Generator-Application to the x86 Microprocessors Family. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Chung-Shyan Liu A Program Generator for Object-based Implementation of Communication Protocol Software. Search on Bibsonomy ISADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Mauro Olivieri, Alessandro Trifiletti, Alessandro De Gloria A Low-Power Microcontroller with on-Chip Self-Tuning Digital Clock-Generator for Variable-Load Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Kin Wee Choo, Guoxiao Guo, Ben M. Chen Development of a Multi-Channel PC-Based Hard Disk Drive Bode-Plot Generator. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Sarvar Patel, Ganapathy S. Sundaram An Efficient Discrete Log Pseudo Random Generator. Search on Bibsonomy CRYPTO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Efstathios Stamatatos, Stephanos E. Michos, Nikos Fakotakis, George K. Kokkinakis A User-Assisted Business Letter Generator Dealing with Text's Stykostic Variations. Search on Bibsonomy ICTAI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Stylistics, Natural Language Processing Applications, Natural Language Generation
18Michael Gansen, Frank Richter, Oliver Weiss, Tobias G. Noll A Datapath Generator for Full-Custom Macros of Iterative Logic Arrays. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Hercule Kwan, Robert Leonard Nelson Jr., Earl E. Swartzlander Jr. A new design for a lookahead carry generator. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Jean-Bernard Fischer, Jacques Stern An Efficient Pseudo-Random Generator Provably as Secure as Syndrome Decoding. Search on Bibsonomy EUROCRYPT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Willi Meier, Othmar Staffelbach The Self-Shrinking Generator. Search on Bibsonomy EUROCRYPT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Deryck Forsyth Brown, Hermano P. Moura, David A. Watt Actress: An Action Semantics Directed Compiler Generator. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Koen Langendoen, Pieter H. Hartel FCG: A Code Generator for Lazy Functional Languages. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Asim J. Al-Khalili, Yong Zhu, Dhamin Al-Khalili A module generator for optimized CMOS buffers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Hanspeter Mössenböck A Generator for Production Quality Compilers. Search on Bibsonomy CC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Nobu Matsumoto, Yoko Watanabe, Kimiyoshi Usami, Yukio Sugeno, Hiroshi Hatada, Shojiro Mori Datapath Generator Based on Gate-Level Symbolic Layout. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Hyung Ki Lee, Dong Sam Ha SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Guido van Rossum AIL - a Class-oriented RPC Stub Generator for Amoeba. Search on Bibsonomy Process in Distributed Operating Systems and Distributed Systems Management The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Asim J. Al-Khalili, Yong Zhu, Dhamin Al-Khalili A Module Generator for Optimized CMOS Buffers. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18R. Nigel Horspool ILALR: An Incremental Generator of LALR(1) Parsers. Search on Bibsonomy CC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF and Key Phrases parsers, LALR(1), compilers, incremental algorithms
18Annie Despland, Monique Mazaud, Raymond Rakotozafy Code Generator Generation Based on Template-Driven Target Term Rewriting. Search on Bibsonomy RTA The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Burton S. Kaliski Jr. A Pseudo-Random Bit Generator Based on Elliptic Logarithms. Search on Bibsonomy CRYPTO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
18Gregor Snelting Experiences with the PSG - Programming System Generator. Search on Bibsonomy TAPSOFT, Vol.2 The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
18Cyrus Bamji, Charles E. Hauck, Jonathan Allen A design by example regular structure generator. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
18Thomas W. Reps, Tim Teitelbaum The Synthesizer Generator. Search on Bibsonomy Software Development Environments (SDE) The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Thomas M. Morgan, Lawrence A. Rowe Analyzing Exotic Instructions for a Retargetable Code Generator. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18Armen Nahapetian A "unique number" generator. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18William H. Payne, John R. Rabung, T. P. Bogyo Coding the Lehmer pseudo-random number generator. Search on Bibsonomy Commun. ACM The full citation details ... 1969 DBLP  DOI  BibTeX  RDF prime factorization, primitive roots, uniform frequency function, uniform probability density, simulation, random number, modular arithmetic, pseudo-random number
16Kota Mizushima, Atusi Maeda, Yoshinori Yamaguchi Packrat parsers can handle practical grammars in mostly constant space. Search on Bibsonomy PASTE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cut operators, packrat parsing, parsing expression grammars, backtracking, memoization, parser generator
16Ruiwen Chen, Yongyi Mao, Iluju Kiringa GRN model of probabilistic databases: construction, transition and querying. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF generator-recognizer network, bayesian network, uncertainty, graphical model, probabilistic database
16Jeremy Guebert, Dwight J. Makaroff, Ketan Mayer-Patel Request generation for a peer-based PVR. Search on Bibsonomy NOSSDAV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF request generator, video distribution, simulation, p2p, caching
16Chris Lewis 0002 Zenet: generating and enforcing real-time temporal invariants. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF runtime softwarefault monitoring, specification generator, temporal invariants, video games, rule engine
16Kashi Venkatesh Vishwanath, Amin Vahdat Swing: realistic and responsive network traffic generation. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wavelet scaling, modeling, burstiness, traffic generator, structural model
16Qidi Wu, Chengju Liu, Jiaqi Zhang, Qijun Chen Survey of locomotion control of legged robots inspired by biological concept. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF biological inspired control, central pattern generator (CPG), locomotion control
16Arturo Ramírez, Francisco González Acuña, Alejandro González Romero, René Alquézar, Enric Hernández, Amador Roldán Aguilar, Ian García Olmedo A Scrabble Heuristic Based on Probability That Performs at Championship Level. Search on Bibsonomy MICAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scrabble, computer Scrabble, move generator, simulation, heuristics, probability
16Wei Dong, Rubin Wang, Zhikang Zhang Simulation Study of CPG Model: Exploring of a Certain Characteristics of Rhythm of Gait Movement on the Intelligent Creature. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF The rhythmic movement, Coordination, Rhythm, Variety, Central pattern generator (CPG)
16Takahiro Matsuda 0002, Goichiro Hanaoka, Kanta Matsuura, Hideki Imai An Efficient Encapsulation Scheme from Near Collision Resistant Pseudorandom Generators and Its Application to IBE-to-PKE Transformations. Search on Bibsonomy CT-RSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IND-CCA security, encapsulation, public key encryption, identity-based encryption, pseudorandom generator
16Young-Dae Hong, Jong-Hwan Kim 0001 Footstep Planning Based on Univector Field Method for Humanoid Robot. Search on Bibsonomy FIRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Footstep planning, univector field method, modifiable walking pattern generator, humanoid robot, evolutionary programming
16Hanen Brahmi, Tarek Hamrouni, Riadh Ben Messaoud, Sadok Ben Yahia Closed Non Derivable Data Cubes Based on Non Derivable Minimal Generators. Search on Bibsonomy ADMA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non derivable pattern, Data warehouse, data cube, closed pattern, minimal generator
16Xiuli Zhang, Haojun Zheng Walking up and down hill with a biologically-inspired postural reflex in a quadrupedal robot. Search on Bibsonomy Auton. Robots The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Quadrupedal robot, Rhythmic motion, Walking up and down hill, Postural reflex, Central pattern generator (CPG)
16Zhiyong Chen 0001, Min Zheng, W. Otto Friesen, Tetsuya Iwasaki Multivariable harmonic balance analysis of the neuronal oscillator for leech swimming. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Locomotion, Central pattern generator, Coupled oscillators, Harmonic balance
16Junzhi Yu, Long Wang 0001, Wei Zhao 0007, Min Tan 0001 Optimal design and motion control of biomimetic robotic fish. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF biomimetic robotic fish, motion control, optimization design, central pattern generator (CPG), mechatronics
16M. Michael Gromiha, Liang-Tsung Huang, Lien Fu Lai Sequence Based Prediction of Protein Mutant Stability and Discrimination of Thermophilic Proteins. Search on Bibsonomy PRIB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Protein stability, thermophilic proteins, neural network, prediction, discrimination, rule generator, machine learning techniques
16Christian Buckl, Stephan Sommer, Andreas Scholz 0001, Alois C. Knoll, Alfons Kemper Generating a Tailored Middleware for Wireless Sensor Network Applications. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sensor Networks, Middleware, Code Generator, Model-Driven Development
16Mark A. Whiting, Jereme Haack, Carrie Varley Creating realistic, scenario-based synthetic data for test and evaluation of information analytics software. Search on Bibsonomy BELIV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evaluation, information visualization, visual analytics, data generator
16Dong Liu, Xuesong Mei, Tao Tao, Youqing Zhou Research on Design Method and Application of FPGA-Based Motion Control. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System Generator, FPGA, motion control, MATLAB/Simulink
16Amparo Fúster-Sabater, Pino Caballero-Gil, O. Delgado On the Use of Linear Cellular Automata for the Synthesis of Cryptographic Sequences. Search on Bibsonomy HAIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interleaved sequence, linear cellular automata, cryptography, self-shrinking generator
16Sharmila Venugopal, Joseph B. Travers, David H. Terman A computational model for motor pattern switching between taste-induced ingestion and rejection oromotor behaviors. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Brainstem, Bursting oscillations, Central pattern generator
Displaying result #401 - #500 of 12976 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license