|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6705 occurrences of 3042 keywords
|
|
|
Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Ming C. Hao, Umeshwar Dayal, Daniel A. Keim, Tobias Schreck |
Importance-Driven Visualization Layouts for Large Time Series Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: IEEE Symposium on Information Visualization (InfoVis 2005), 23-25 October 2005, Minneapolis, MN, USA, pp. 203-210, 2005, IEEE Computer Society, 0-7803-9464-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Space-Filling Layout Generation, Information Visualization, Time Series |
23 | Victor De La Luz, Mahmut T. Kandemir |
Array Regrouping and Its Use in Compiling Data-Intensive Embedded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(1), pp. 1-19, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Array regrouping, memory energy consumption, embedded systems, cache locality, layout optimizations |
23 | Hiroshi Hosobe |
A high-dimensional approach to interactive graph visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 1253-1257, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
general undirected graphs, interactive graph layout, information visualization, multidimensional scaling |
23 | Yaniv Frishman, Ayellet Tal |
Dynamic Drawing of Clustered Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: 10th IEEE Symposium on Information Visualization (InfoVis 2004), 10-12 October 2004, Austin, TX, USA, pp. 191-198, 2004, IEEE Computer Society, 0-7803-8779-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
dynamic layout, software visualization, graph drawing, mobile objects |
23 | Ricardo Reis 0001, Fernanda Lima Kastensmidt, José Luís Almada Güntzel |
Physical design methodologies for performance predictability and manufacturability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 390-397, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
design methodologies, regularity, layout, physical design, DFM |
23 | Gang Zhang, E. Aykut Dengi, Ronald A. Rohrer, Rob A. Rutenbar, L. Richard Carley |
A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 155-158, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
modeling, synthesis, layout, sizing, parasitic, radio frequency |
23 | Xiaoyu Song, Yuke Wang |
On the crossing distribution problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 4(1), pp. 39-51, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
VLSI layout, crossings |
23 | Krishna Bharat, Tomonari Kamba, Michael C. Albers |
Personalized, Interactive News on the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 6(5), pp. 349-358, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
On-line newspapers, Community interest, Personalization, Relevance feedback, Customization, Automatic layout |
23 | Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli |
Digital sensitivity: predicting signal interaction using functional analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 536-541, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
layout synthesis, Crosstalk, sensitivity, signal integrity |
23 | Zhan Chen, Israel Koren |
Techniques for Yield Enhancement of VLSI Adders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: The International Conference on Application Specific Array Processors (ASAP'95), July 24-26, 1995, Strasbourg, France, pp. 222-229, 1995, IEEE Computer Society, 0-8186-7109-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
VLSI yield, VLSI adder, defect tolerance, VLSI layout |
22 | Sanjiv Taneja |
DFT Aware Layout - Layout Aware DFT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 14th Asian Test Symposium (ATS 2005), 18-21 December 2005, Calcutta, India, 2005, IEEE Computer Society, 0-7695-2481-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Chi-Hsiang Yeh, Behrooz Parhami, Emmanouel A. Varvarigos |
The Recursive Grid Layout Scheme for VLSI Layout of Hierarchical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 441-445, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Dong-Sung Ryu, Woo-Keun Chung, Hwan-Gue Cho |
PHOTOLAND: a new image layout system using spatio-temporal information in digital photos. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 1884-1891, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
photo management, clustering, visualization, digital photo, EXIF, photo clustering |
22 | Xiaowen Chu 0001, Kai Ouyang, Xiaolei Chang |
FAXtrac: Fast Extraction of Disk Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2009, 9-11 July 2009, Zhang Jia Jie, Hunan, China, pp. 309-314, 2009, IEEE Computer Society, 978-0-7695-3741-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Mi Li, Yangyang Song, Shengfu Lu, Ning Zhong 0001 |
The Layout of Web Pages: A Study on the Relation between Information Forms and Locations Using Eye-Tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMT ![In: Active Media Technology, 5th International Conference, AMT 2009, Beijing, China, October 22-24, 2009. Proceedings, pp. 207-216, 2009, Springer, 978-3-642-04874-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Albert Gordo, Ernest Valveny |
A Rotation Invariant Page Layout Descriptor for Document Classification and Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 10th International Conference on Document Analysis and Recognition, ICDAR 2009, Barcelona, Spain, 26-29 July 2009, pp. 481-485, 2009, IEEE Computer Society, 978-0-7695-3725-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Raymond W. Smith |
Hybrid Page Layout Analysis via Tab-Stop Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 10th International Conference on Document Analysis and Recognition, ICDAR 2009, Barcelona, Spain, 26-29 July 2009, pp. 241-245, 2009, IEEE Computer Society, 978-0-7695-3725-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Stefano Ferilli, Marenglen Biba, Floriana Esposito, Teresa Maria Altomare Basile |
A Distance-Based Technique for Non-Manhattan Layout Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 10th International Conference on Document Analysis and Recognition, ICDAR 2009, Barcelona, Spain, 26-29 July 2009, pp. 231-235, 2009, IEEE Computer Society, 978-0-7695-3725-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Michael R. Fellows, Daniel Lokshtanov, Neeldhara Misra, Frances A. Rosamond, Saket Saurabh 0001 |
Graph Layout Problems Parameterized by Vertex Cover. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 19th International Symposium, ISAAC 2008, Gold Coast, Australia, December 15-17, 2008. Proceedings, pp. 294-305, 2008, Springer, 978-3-540-92181-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao |
Design rule optimization of regular layout for leakage reduction in nanoscale design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 474-479, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal |
Stress aware layout optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 168-174, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Mathys C. du Plessis, Lynette Barnard |
Incorporating layout managers into an evolutionary programming algorithm to design graphical user interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAICSIT ![In: Proceedings of the 2008 Annual Conference of the South African Institute of Computer Scientists and Information Technologists on IT Research in Developing Countries, SAICSIT 2008, Wilderness, South Africa, October 6-8, 2008, pp. 41-47, 2008, ACM, 978-1-60558-286-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
genetic algorithms, human computer interaction (HCI), evolutionary programming |
22 | Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen |
Area oriented pass-transistor logic synthesis using buffer elimination and layout compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 2022-2025, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Angelo Di Iorio, Luca Furini, Fabio Vitali, John William Lumley, Tony Wiley |
Higher-level layout through topological abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2008 ACM Symposium on Document Engineering, Sao Paulo, Brazil, September 16-19, 2008, pp. 90-99, 2008, ACM, 978-1-60558-081-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
DDF, TALL, topological layouts, XSLT, automatic layouts |
22 | Istvan Beszteri, Petri Vuorimaa |
Vertical Navigation of Layout Adapted Web Documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web (1), pp. 1-35, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
internet, adaptation, navigation, browsing |
22 | Michael Baur, Ulrik Brandes |
Multi-circular Layout of Micro/Macro Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 15th International Symposium, GD 2007, Sydney, Australia, September 24-26, 2007. Revised Papers, pp. 255-267, 2007, Springer, 978-3-540-77536-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Ian Vollick, Daniel Vogel 0001, Maneesh Agrawala, Aaron Hertzmann |
Specifying label layout style by example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: Proceedings of the 20th Annual ACM Symposium on User Interface Software and Technology, Newport, Rhode Island, USA, October 7-10, 2007, pp. 221-230, 2007, ACM, 978-1-59593-679-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
design, learning, workflows, labeling |
22 | Ye Chen, Zheng Shi 0002, Xiaolang Yan |
An Automated and Fast OPC Algorithm for OPC-Aware Layout Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 782-787, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Vyas Krishnan, Srinivas Katkoori |
A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 885-892, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Ming Hu, Minghua Jiang |
FRAID: A Networked Data Storage Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IITA ![In: Proceedings of the Workshop on Intelligent Information Technology Application, IITA 2007, Zhang Jiajie, China, December 2-3, 2007, pp. 286-289, 2007, IEEE Computer Society, 0-7695-3063-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Claudio Andreatta |
Document Layout Substructure Discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMT ![In: Semantic Multimedia, Second International Conference on Semantic and Digital Media Technologies, SAMT 2007, Genoa, Italy, December 5-7, 2007, Proceedings, pp. 268-271, 2007, Springer, 978-3-540-77033-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Sriram Krishnamoorthy, Gerald Baumgartner, Chi-Chung Lam, Jarek Nieplocha, P. Sadayappan |
Layout transformation support for the disk resident arrays framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 36(2), pp. 153-170, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Nian Zhang, Donald C. Wunsch II |
Speeding up VLSI Layout Verification Using Fuzzy Attributed Graphs Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 14(6), pp. 728-737, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | David Liu 0001, Datong Chen, Tsuhan Chen |
Unsupervised Image Layout Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 1113-1116, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Minghong Zhou, Rubao Li, Wei Li |
Understanding theWeb Page Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDM Workshops ![In: Workshops Proceedings of the 6th IEEE International Conference on Data Mining (ICDM 2006), 18-22 December 2006, Hong Kong, China, pp. 438-442, 2006, IEEE Computer Society, 0-7695-2702-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Peter Sobe |
Adaptations of Block Layout in Distributed Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 14th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2006), 15-17 February 2006, Montbeliard-Sochaux, France, pp. 163-172, 2006, IEEE Computer Society, 0-7695-2513-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Robert Hundt, Sandya Mannarswamy, Dhruva R. Chakrabarti |
Practical Structure Layout Optimization and Advice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 233-244, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Xinyong Zhang, Xiangshi Ren |
CATER: A Framework for the Automated Layout of Transactional Pages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 32, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Shogo Tokai, Hiroyuki Hase |
Attention Navigation by Keeping Screen Layout for Switching Multiple Views. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (4) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 766-769, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Gengui Zhou, Mujing Ye, Zhenyu Cao, Feng Ye |
A Genetic Algorithm Approach on a Facility Layout Design Problem with Aisles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Computational Intelligence, International Conference on Intelligent Computing, ICIC 2006, Kunming, China, August 16-19, 2006. Proceedings, Part II, pp. 1008-1013, 2006, Springer, 3-540-37274-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Helen C. Purchase, Eve E. Hoggan, Carsten Görg |
How Important Is the "Mental Map"? - An Empirical Investigation of a Dynamic Graph Layout Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 14th International Symposium, GD 2006, Karlsruhe, Germany, September 18-20, 2006. Revised Papers, pp. 184-195, 2006, Springer, 978-3-540-70903-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | John M. Winn, Jamie Shotton |
The Layout Consistent Random Field for Recognizing and Segmenting Partially Occluded Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR (1) ![In: 2006 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR 2006), 17-22 June 2006, New York, NY, USA, pp. 37-44, 2006, IEEE Computer Society, 0-7695-2597-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Cristiano Lazzari, Ricardo A. L. Reis, Lorena Anghel |
Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 10-12 July 2006, Como, Italy, pp. 165-172, 2006, IEEE Computer Society, 0-7695-2620-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Muzaffer Kapanoglu, Fehime Utkan |
Particle Swarm Optimization for Facility Layout Problems With/Out Department-Specific Restrictions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANTS Workshop ![In: Ant Colony Optimization and Swarm Intelligence, 5th International Workshop, ANTS 2006, Brussels, Belgium, September 4-7, 2006, Proceedings, pp. 516-517, 2006, Springer, 3-540-38482-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Gaurav Gupta, Shobhit Niranjan, Ankit Shrivastava, R. Mahesh K. Sinha |
Document Layout Analysis and Classification and Its Application in OCR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDOC Workshops ![In: Tenth IEEE International Enterprise Distributed Object Computing Conference (EDOC 2006), 16-20 October 2006, Hong Kong, China, Workshops, pp. 58, 2006, IEEE Computer Society, 0-7695-2743-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Bikram Baidya, Tamal Mukherjee |
Layout verification for mixed-domain integrated MEMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4), pp. 563-577, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma |
Layout-aware scan chain synthesis for improved path delay fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(7), pp. 1104-1114, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Shintaro Kajiwara, Hideki Koike, Kentaro Fukuchi, Kenji Oka, Yoichi Sato |
Information Layout and Interaction Techniques on an Augmented Round Table. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV-HCI ![In: Computer Vision in Human-Computer Interaction, ICCV 2005 Workshop on HCI, Beijing, China, October 21, 2005, Proceedings, pp. 141-149, 2005, Springer, 3-540-29620-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Wei Zhang 0012, Niraj K. Jha |
ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 281-288, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Anuradha Agarwal, Ranga Vemuri |
Layout-Aware RF Circuit Synthesis Driven by Worst Case Parasitic Corners. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 444-452, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Paula López 0001, Matthias Oberst, Harald Neubauer, Johann Hauer, Diego Cabello |
Performance analysis of high-speed MOS transistors with different layout styles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 3688-3691, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Yong-Chan Ban, Soo-Han Choi, Ki-Hung Lee, Dong-Hyun Kim, Jisuk Hong, Yoo-Hyon Kim, Moon-Hyun Yoo, Jeong-Taek Kong |
A Fast Lithography Verification Framework for Litho-Friendly Layout Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 169-174, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Kensuke Kawai, Shigeru Matsumoto, Mitsunobu Nakajo, Hirotaka Nakayama, Masao Arakawa |
Shinayaka-Systems Design: A Multi-objective Plant-layout Planning for Power Generating Plants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSTST ![In: Soft Computing as Transdisciplinary Science and Technology, Proceedings of the fourth IEEE International Workshop, WSTST'05, Muroran, Japan, pp. 337-348, 2005, Springer, 978-3-540-25055-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Simone Marinai, Emanuele Marino, Giovanni Soda |
Layout based document image retrieval by means of XY tree reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Eighth International Conference on Document Analysis and Recognition (ICDAR 2005), 29 August - 1 September 2005, Seoul, Korea, pp. 432-436, 2005, IEEE Computer Society, 0-7695-2420-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Yusuke Takiguchi, Minoru Okada, Yasuji Miyake |
A Fundamental Study of Output Translation from Layout Recognition and Semantic Understanding System for Mathematical Formulae. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Eighth International Conference on Document Analysis and Recognition (ICDAR 2005), 29 August - 1 September 2005, Seoul, Korea, pp. 745-749, 2005, IEEE Computer Society, 0-7695-2420-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Hui Chao, Xiaofan Lin |
Capturing the Layout of Electronic Documents for Reuse in Variable Data Printing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Eighth International Conference on Document Analysis and Recognition (ICDAR 2005), 29 August - 1 September 2005, Seoul, Korea, pp. 940-944, 2005, IEEE Computer Society, 0-7695-2420-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Fabricio B. Bastian, Cristiano Lazzari, José Luís Almada Güntzel, Ricardo Reis 0001 |
A New Transistor Folding Algorithm Applied to an Automatic Full-Custom Layout Generation Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, PATMOS 2004, Santorini, Greece, September 15-17, 2004, Proceedings, pp. 732-741, 2004, Springer, 3-540-23095-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Byoungro So, Mary W. Hall, Heidi E. Ziegler |
Custom Data Layout for Memory Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 20-24 March 2004, San Jose, CA, USA, pp. 291-302, 2004, IEEE Computer Society, 0-7695-2102-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Noriyuki Miura, Naoki Kato, Tadahiro Kuroda |
Practical methodology of post-layout gate sizing for 15% more power saving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 434-437, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Valdair Candido Martins, Marco Antônio Barbosa Cândido, Leandro dos Santos Coelho |
Solving Facility Layout Problems with a Set of Geometric Hard-constraints using Tabu Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Applied Soft Computing Technologies: The Challenge of Complexity, Proceedings of the 9th Online World Conference on Soft Computing in Industrial Applications (WSC9), September 20th - October 8th, 2004, held on the World Wide Web, pp. 251-262, 2004, Springer, 978-3-540-31649-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Guofu Ding, Kaiyin Yan, Yong He, Dan Li |
Layout of Virtual Flexible Manufacturing System and Machining Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AsiaSim ![In: Systems Modeling and Simulation: Theory and Applications, Third Asian Simulation Conference, AsiaSim 2004, Jeju Island, Korea, October 4-6, 2004, Revised Selected Papers, pp. 68-76, 2004, Springer, 3-540-24477-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Ugur Dogrusöz, Erhan Giral, Ahmet Cetintas, Ali Civril, Emek Demir |
A Compound Graph Layout Algorithm for Biological Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 12th International Symposium, GD 2004, New York, NY, USA, September 29 - October 2, 2004, Revised Selected Papers, pp. 442-447, 2004, Springer, 3-540-24528-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii |
Post-layout leakage power minimization based on distributed sleep transistor insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 138-143, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
sub-threshold current, leakage power, sleep transistor |
22 | Fang Fang, Jianwen Zhu |
Calligrapher: A New Layout Migration Engine Based on Geometric Closeness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 5th International Symposium on Quality of Electronic Design (ISQED 2004), 22-24 March 2004, San Jose, CA, USA, pp. 25-30, 2004, IEEE Computer Society, 0-7695-2093-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Anat Bremler-Barr, Leah Epstein |
Path Layout on Tree Networks: Bounds in Different Label Switching Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIROCCO ![In: Structural Information and Communication Complexity, 11th International Colloquium , SIROCCO 2004, Smolenice Castle, Slovakia, June 21-23, 2004, Proceedings, pp. 35-46, 2004, Springer, 3-540-22230-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Charles J. Alpert, Milos Hrkic, Jiang Hu, Stephen T. Quay |
Fast and flexible buffer trees that navigate the physical layout environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 24-29, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
global routing, buffer insertion, physical synthesis |
22 | Qinghua Liu, Malgorzata Marek-Sadowska |
Pre-layout wire length and congestion estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 582-587, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
prediction, congestion, wire length |
22 | Alessandro Girardi, Sergio Bampi |
LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 11106-11107, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Cristiano Santos, Gustavo Wilke, Cristiano Lazzari, Ricardo Reis 0001, José Luís Almada Güntzel |
A Transistor Sizing Method Applied to an Automatic Layout Generation Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2003, Sao Paulo, Brazil, September 8-11, 2003, pp. 303-, 2003, IEEE Computer Society, 0-7695-2009-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Yoav Zibin, Joseph Gil |
Two-Dimensional Bi-directional Object Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2003 - Object-Oriented Programming, 17th European Conference, Darmstadt, Germany, July 21-25, 2003, Proceedings, pp. 329-350, 2003, Springer, 3-540-40531-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Alexandre Casacurta, Marcel Furtado Almeida, Ricardo Augusto da Luz Reis |
A Visual Simulation Tool at Layout Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSE ![In: 2003 International Conference on Microelectronics Systems Education, MSE 2003, Educating Tomorrow's Microsystems Designers, Anaheim, CA, USA, June 1-2, 2003, pp. 110-111, 2003, IEEE Computer Society, 0-7695-1973-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Burkay Genç, Ugur Dogrusöz |
A Constrained, Force-Directed Layout Algorithm for Biological Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 11th International Symposium, GD 2003, Perugia, Italy, September 21-24, 2003, Revised Papers, pp. 314-319, 2003, Springer, 3-540-20831-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jeyarajan Thiyagalingam, Olav Beckmann, Paul H. J. Kelly |
Improving the Performance of Morton Layout by Array Alignment and Loop Unrolling: Reducing the Price of Naivety. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 16th International Workshop, LCPC 2003, College Station, TX, USA, October 2-4, 2003, Revised Papers, pp. 241-257, 2003, Springer, 3-540-21199-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Li Xiao, Oleg Shats, Simon Sherman |
Grid-Layout Visualization Method in the Microarray Data Analysis Interactive Graphics Toolkit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 36th Hawaii International Conference on System Sciences (HICSS-36 2003), CD-ROM / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, USA, pp. 276, 2003, IEEE Computer Society, 0-7695-1874-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Ming-Dou Ker, Jeng-Jie Peng, Hsin-Chin Jiang |
Active Device under Bond Pad to Save I/O Layout for High-pin-count SOC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 4th International Symposium on Quality of Electronic Design (ISQED 2003), 24-26 March 2003, San Jose, CA, USA, pp. 241-246, 2003, IEEE Computer Society, 0-7695-1881-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | T. S. Rajesh Kumar, R. Govindarajan, C. P. Ravikumar |
Optimal Code and Data Layout in Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 16th International Conference on VLSI Design (VLSI Design 2003), 4-8 January 2003, New Delhi, India, pp. 573-578, 2003, IEEE Computer Society, 0-7695-1868-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Istvan Beszteri, Petri Vuorimaa |
Automatic Layout Generation with XML Wrapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb ![In: Web Technologies and Applications, 5th Asian-Pacific Web Conference, APWeb 2003, Xian, China, April 23-25, 2002, Proceedings, pp. 101-107, 2003, Springer, 3-540-02354-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Rung-Bin Lin |
Comments on "Filling algorithms and analyses for layout density control". ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10), pp. 1209-1211, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Ke-Bing Zhang, Kang Zhang 0001, Mehmet A. Orgun |
Grammar-Based Layout for a Visual Programming Language Generation System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Diagrams ![In: Diagrammatic Representation and Inference, Second International Conference, Diagrams 2002, Callaway Gardens, GA, USA, April 18-20, 2002, Proceedings, pp. 106-108, 2002, Springer, 3-540-43561-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Roxana Diaconescu |
A General Data Layout for Distributed Consistency in Data Parallel Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2002, 9th International Conference, Bangalore, India, December 18-21, 2002, Proceedings, pp. 22-33, 2002, Springer, 3-540-00303-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Michael A. Bender, Erik D. Demaine, Martin Farach-Colton |
Efficient Tree Layout in a Multilevel Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA 2002, 10th Annual European Symposium, Rome, Italy, September 17-21, 2002, Proceedings, pp. 165-173, 2002, Springer, 3-540-44180-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Jeyarajan Thiyagalingam, Paul H. J. Kelly |
Is Morton Layout Competitive for Large Two-Dimensional Arrays? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 280-288, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Junhyung Um, Jae-Hoon Kim 0001, Taewhan Kim |
Layout-driven resource sharing in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 614-618, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Richard Cole 0002 |
Automated Layout of Concept Lattices Using Layered Diagrams and Additive Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSC ![In: 24th Australasian Computer Science Conference (ACSC 2001), 29 January - 1 February 2001, Gold Coast, Queensland, Australia, pp. 47-53, 2001, IEEE Computer Society, 0-7695-0963-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez |
A Compact Layout Technique for Reducing Switching Current Effects in High Speed Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 223-228, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Rym M'Hallah, Ahlem Bouziri, Walid Jilani |
Layout of Two Dimensional Irregular Shapes Using Genetic Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Engineering of Intelligent Systems, 14th International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 2001, Budapest, Hungary, June 4-7, 2001, Proceedings, pp. 403-411, 2001, Springer, 3-540-42219-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Anupam Datta, Sidharth Choudhury, Anupam Basu, Hiroyuki Tomiyama, Nikil D. Dutt |
Satisfying Timing Constraints of Preemptive Real-Time Tasks through Task Layout Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 14th International Conference on VLSI Design (VLSI Design 2001), 3-7 January 2001, Bangalore, India, pp. 97-102, 2001, IEEE Computer Society, 0-7695-0831-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Fei Liu 0017, Yupin Luo, Dongcheng Hu, Masataka Yoshikawa |
A New Component Based Algorithm for Newspaper Layout Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 6th International Conference on Document Analysis and Recognition (ICDAR 2001), 10-13 September 2001, Seattle, WA, USA, pp. 1176-1180, 2001, IEEE Computer Society, 0-7695-1263-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Alan Borning, Richard Kuang-Hsu Lin, Kim Marriott |
Constraint-based document layout for the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 8(3), pp. 177-189, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Gerard A. Allan |
Yield prediction by sampling IC layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(3), pp. 359-371, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Zhiwei Guan, Yang Li, Hongan Wang, Guozhong Dai |
A Comparison on Free Interaction Models for Mobile Layout System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HUC ![In: Handheld and Ubiquitous Computing, Second International Symposium, HUC 2000, Bristol, UK, September 25-27, 2000, Proceedings, pp. 63-71, 2000, Springer, 3-540-41093-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Phillip E. Mitchell, Hong Yan 0001 |
Document Page Segmentation and Layout Analysis Using Soft Ordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000., pp. 1458-1461, 2000, IEEE Computer Society, 0-7695-0750-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Toyohide Watanabe, Tsuneo Sobue |
Layout Analysis of Complex Documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000., pp. 4447-4450, 2000, IEEE Computer Society, 0-7695-0750-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Richard Cole 0002 |
Automated Layout of Concept Lattices Using Force Directed Placement and Genetic Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSC ![In: 23rd Australasian Computer Science Conference (ACSC 2000), 31 January - 3 February 2000, Canberra, Australia, pp. 31-42, 2000, IEEE Computer Society, 0-7695-0518-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Christoph Schlieder, Cornelius Hagen |
Interactive Layout Generation with a Diagrammatic Constraint Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Spatial Cognition ![In: Spatial Cognition II, Integrating Abstract Theories, Empirical Studies, Formal Methods, and Practical Applications, pp. 198-211, 2000, Springer, 3-540-67584-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Tatiana Surazhsky, Gershon Elber |
Arbitrary Precise Orientation Specification for Layout of Text. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PG ![In: 8th Pacific Conference on Computer Graphics and Applications, PG 2000, Hong Kong, October 3-5, 2000, pp. 80-86, 2000, IEEE Computer Society, 0-7695-0868-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
free-form parametric curves and surfaces, Composition, digital typography |
22 | Chi-Hsiang Yeh, Emmanouel A. Varvarigos, Behrooz Parhami |
Multilayer VLSI Layout for Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2000 International Conference on Parallel Processing, ICPP 2000, Toronto, Canada, August 21-24, 2000, pp. 33-42, 2000, IEEE Computer Society, 0-7695-0768-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Paul Chow, Soon Ong Seo, Jonathan Rose, Kevin Chung, Gerard Páez-Monzón, Immanuel Rahardja |
The design of a SRAM-based field-programmable gate array-Part II: Circuit design and layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 7(3), pp. 321-330, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Peter F. Sweeney, Joseph Gil |
Space and Time-Efficient Memory Layout for Multiple Inheritance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 1999 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages & Applications, OOPSLA 1999, Denver, Colorado, USA, November 1-5, 1999., pp. 256-275, 1999, ACM, 1-58113-238-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
C++ |
22 | Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky |
New Multilevel and Hierarchical Algorithms for Layout Density Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 221-224, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 11076 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|