The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Ming C. Hao, Umeshwar Dayal, Daniel A. Keim, Tobias Schreck Importance-Driven Visualization Layouts for Large Time Series Data. Search on Bibsonomy INFOVIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Space-Filling Layout Generation, Information Visualization, Time Series
23Victor De La Luz, Mahmut T. Kandemir Array Regrouping and Its Use in Compiling Data-Intensive Embedded Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Array regrouping, memory energy consumption, embedded systems, cache locality, layout optimizations
23Hiroshi Hosobe A high-dimensional approach to interactive graph visualization. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF general undirected graphs, interactive graph layout, information visualization, multidimensional scaling
23Yaniv Frishman, Ayellet Tal Dynamic Drawing of Clustered Graphs. Search on Bibsonomy INFOVIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic layout, software visualization, graph drawing, mobile objects
23Ricardo Reis 0001, Fernanda Lima Kastensmidt, José Luís Almada Güntzel Physical design methodologies for performance predictability and manufacturability. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design methodologies, regularity, layout, physical design, DFM
23Gang Zhang, E. Aykut Dengi, Ronald A. Rohrer, Rob A. Rutenbar, L. Richard Carley A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, synthesis, layout, sizing, parasitic, radio frequency
23Xiaoyu Song, Yuke Wang On the crossing distribution problem. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VLSI layout, crossings
23Krishna Bharat, Tomonari Kamba, Michael C. Albers Personalized, Interactive News on the Web. Search on Bibsonomy Multim. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF On-line newspapers, Community interest, Personalization, Relevance feedback, Customization, Automatic layout
23Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli Digital sensitivity: predicting signal interaction using functional analysis. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout synthesis, Crosstalk, sensitivity, signal integrity
23Zhan Chen, Israel Koren Techniques for Yield Enhancement of VLSI Adders. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI yield, VLSI adder, defect tolerance, VLSI layout
22Sanjiv Taneja DFT Aware Layout - Layout Aware DFT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chi-Hsiang Yeh, Behrooz Parhami, Emmanouel A. Varvarigos The Recursive Grid Layout Scheme for VLSI Layout of Hierarchical Networks. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Dong-Sung Ryu, Woo-Keun Chung, Hwan-Gue Cho PHOTOLAND: a new image layout system using spatio-temporal information in digital photos. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF photo management, clustering, visualization, digital photo, EXIF, photo clustering
22Xiaowen Chu 0001, Kai Ouyang, Xiaolei Chang FAXtrac: Fast Extraction of Disk Layout. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Mi Li, Yangyang Song, Shengfu Lu, Ning Zhong 0001 The Layout of Web Pages: A Study on the Relation between Information Forms and Locations Using Eye-Tracking. Search on Bibsonomy AMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Albert Gordo, Ernest Valveny A Rotation Invariant Page Layout Descriptor for Document Classification and Retrieval. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Raymond W. Smith Hybrid Page Layout Analysis via Tab-Stop Detection. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Stefano Ferilli, Marenglen Biba, Floriana Esposito, Teresa Maria Altomare Basile A Distance-Based Technique for Non-Manhattan Layout Analysis. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Michael R. Fellows, Daniel Lokshtanov, Neeldhara Misra, Frances A. Rosamond, Saket Saurabh 0001 Graph Layout Problems Parameterized by Vertex Cover. Search on Bibsonomy ISAAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Design rule optimization of regular layout for leakage reduction in nanoscale design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Stress aware layout optimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Mathys C. du Plessis, Lynette Barnard Incorporating layout managers into an evolutionary programming algorithm to design graphical user interfaces. Search on Bibsonomy SAICSIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithms, human computer interaction (HCI), evolutionary programming
22Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Area oriented pass-transistor logic synthesis using buffer elimination and layout compaction. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Angelo Di Iorio, Luca Furini, Fabio Vitali, John William Lumley, Tony Wiley Higher-level layout through topological abstraction. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DDF, TALL, topological layouts, XSLT, automatic layouts
22Istvan Beszteri, Petri Vuorimaa Vertical Navigation of Layout Adapted Web Documents. Search on Bibsonomy World Wide Web The full citation details ... 2007 DBLP  DOI  BibTeX  RDF internet, adaptation, navigation, browsing
22Michael Baur, Ulrik Brandes Multi-circular Layout of Micro/Macro Graphs. Search on Bibsonomy GD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ian Vollick, Daniel Vogel 0001, Maneesh Agrawala, Aaron Hertzmann Specifying label layout style by example. Search on Bibsonomy UIST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design, learning, workflows, labeling
22Ye Chen, Zheng Shi 0002, Xiaolang Yan An Automated and Fast OPC Algorithm for OPC-Aware Layout Design. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Vyas Krishnan, Srinivas Katkoori A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ming Hu, Minghua Jiang FRAID: A Networked Data Storage Layout. Search on Bibsonomy IITA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Claudio Andreatta Document Layout Substructure Discovery. Search on Bibsonomy SAMT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sriram Krishnamoorthy, Gerald Baumgartner, Chi-Chung Lam, Jarek Nieplocha, P. Sadayappan Layout transformation support for the disk resident arrays framework. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Nian Zhang, Donald C. Wunsch II Speeding up VLSI Layout Verification Using Fuzzy Attributed Graphs Approach. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22David Liu 0001, Datong Chen, Tsuhan Chen Unsupervised Image Layout Extraction. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Minghong Zhou, Rubao Li, Wei Li Understanding theWeb Page Layout. Search on Bibsonomy ICDM Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Peter Sobe Adaptations of Block Layout in Distributed Storage Systems. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Robert Hundt, Sandya Mannarswamy, Dhruva R. Chakrabarti Practical Structure Layout Optimization and Advice. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Xinyong Zhang, Xiangshi Ren CATER: A Framework for the Automated Layout of Transactional Pages. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Shogo Tokai, Hiroyuki Hase Attention Navigation by Keeping Screen Layout for Switching Multiple Views. Search on Bibsonomy ICPR (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Gengui Zhou, Mujing Ye, Zhenyu Cao, Feng Ye A Genetic Algorithm Approach on a Facility Layout Design Problem with Aisles. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Helen C. Purchase, Eve E. Hoggan, Carsten Görg How Important Is the "Mental Map"? - An Empirical Investigation of a Dynamic Graph Layout Algorithm. Search on Bibsonomy GD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22John M. Winn, Jamie Shotton The Layout Consistent Random Field for Recognizing and Segmenting Partially Occluded Objects. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Cristiano Lazzari, Ricardo A. L. Reis, Lorena Anghel Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: A Case Study. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Muzaffer Kapanoglu, Fehime Utkan Particle Swarm Optimization for Facility Layout Problems With/Out Department-Specific Restrictions. Search on Bibsonomy ANTS Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Gaurav Gupta, Shobhit Niranjan, Ankit Shrivastava, R. Mahesh K. Sinha Document Layout Analysis and Classification and Its Application in OCR. Search on Bibsonomy EDOC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Bikram Baidya, Tamal Mukherjee Layout verification for mixed-domain integrated MEMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shintaro Kajiwara, Hideki Koike, Kentaro Fukuchi, Kenji Oka, Yoichi Sato Information Layout and Interaction Techniques on an Augmented Round Table. Search on Bibsonomy ICCV-HCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Wei Zhang 0012, Niraj K. Jha ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Anuradha Agarwal, Ranga Vemuri Layout-Aware RF Circuit Synthesis Driven by Worst Case Parasitic Corners. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Paula López 0001, Matthias Oberst, Harald Neubauer, Johann Hauer, Diego Cabello Performance analysis of high-speed MOS transistors with different layout styles. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yong-Chan Ban, Soo-Han Choi, Ki-Hung Lee, Dong-Hyun Kim, Jisuk Hong, Yoo-Hyon Kim, Moon-Hyun Yoo, Jeong-Taek Kong A Fast Lithography Verification Framework for Litho-Friendly Layout Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kensuke Kawai, Shigeru Matsumoto, Mitsunobu Nakajo, Hirotaka Nakayama, Masao Arakawa Shinayaka-Systems Design: A Multi-objective Plant-layout Planning for Power Generating Plants. Search on Bibsonomy WSTST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Simone Marinai, Emanuele Marino, Giovanni Soda Layout based document image retrieval by means of XY tree reduction. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yusuke Takiguchi, Minoru Okada, Yasuji Miyake A Fundamental Study of Output Translation from Layout Recognition and Semantic Understanding System for Mathematical Formulae. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hui Chao, Xiaofan Lin Capturing the Layout of Electronic Documents for Reuse in Variable Data Printing. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Fabricio B. Bastian, Cristiano Lazzari, José Luís Almada Güntzel, Ricardo Reis 0001 A New Transistor Folding Algorithm Applied to an Automatic Full-Custom Layout Generation Tool. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Byoungro So, Mary W. Hall, Heidi E. Ziegler Custom Data Layout for Memory Parallelism. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Noriyuki Miura, Naoki Kato, Tadahiro Kuroda Practical methodology of post-layout gate sizing for 15% more power saving. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Valdair Candido Martins, Marco Antônio Barbosa Cândido, Leandro dos Santos Coelho Solving Facility Layout Problems with a Set of Geometric Hard-constraints using Tabu Search. Search on Bibsonomy WSC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Guofu Ding, Kaiyin Yan, Yong He, Dan Li Layout of Virtual Flexible Manufacturing System and Machining Simulation. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ugur Dogrusöz, Erhan Giral, Ahmet Cetintas, Ali Civril, Emek Demir A Compound Graph Layout Algorithm for Biological Pathways. Search on Bibsonomy GD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Post-layout leakage power minimization based on distributed sleep transistor insertion. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sub-threshold current, leakage power, sleep transistor
22Fang Fang, Jianwen Zhu Calligrapher: A New Layout Migration Engine Based on Geometric Closeness. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Anat Bremler-Barr, Leah Epstein Path Layout on Tree Networks: Bounds in Different Label Switching Models. Search on Bibsonomy SIROCCO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Charles J. Alpert, Milos Hrkic, Jiang Hu, Stephen T. Quay Fast and flexible buffer trees that navigate the physical layout environment. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF global routing, buffer insertion, physical synthesis
22Qinghua Liu, Malgorzata Marek-Sadowska Pre-layout wire length and congestion estimation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prediction, congestion, wire length
22Alessandro Girardi, Sergio Bampi LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Cristiano Santos, Gustavo Wilke, Cristiano Lazzari, Ricardo Reis 0001, José Luís Almada Güntzel A Transistor Sizing Method Applied to an Automatic Layout Generation Tool. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yoav Zibin, Joseph Gil Two-Dimensional Bi-directional Object Layout. Search on Bibsonomy ECOOP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Alexandre Casacurta, Marcel Furtado Almeida, Ricardo Augusto da Luz Reis A Visual Simulation Tool at Layout Level. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Burkay Genç, Ugur Dogrusöz A Constrained, Force-Directed Layout Algorithm for Biological Pathways. Search on Bibsonomy GD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Jeyarajan Thiyagalingam, Olav Beckmann, Paul H. J. Kelly Improving the Performance of Morton Layout by Array Alignment and Loop Unrolling: Reducing the Price of Naivety. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Li Xiao, Oleg Shats, Simon Sherman Grid-Layout Visualization Method in the Microarray Data Analysis Interactive Graphics Toolkit. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ming-Dou Ker, Jeng-Jie Peng, Hsin-Chin Jiang Active Device under Bond Pad to Save I/O Layout for High-pin-count SOC. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22T. S. Rajesh Kumar, R. Govindarajan, C. P. Ravikumar Optimal Code and Data Layout in Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Istvan Beszteri, Petri Vuorimaa Automatic Layout Generation with XML Wrapping. Search on Bibsonomy APWeb The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Rung-Bin Lin Comments on "Filling algorithms and analyses for layout density control". Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Ke-Bing Zhang, Kang Zhang 0001, Mehmet A. Orgun Grammar-Based Layout for a Visual Programming Language Generation System. Search on Bibsonomy Diagrams The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Roxana Diaconescu A General Data Layout for Distributed Consistency in Data Parallel Applications. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Michael A. Bender, Erik D. Demaine, Martin Farach-Colton Efficient Tree Layout in a Multilevel Memory Hierarchy. Search on Bibsonomy ESA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jeyarajan Thiyagalingam, Paul H. J. Kelly Is Morton Layout Competitive for Large Two-Dimensional Arrays? Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Junhyung Um, Jae-Hoon Kim 0001, Taewhan Kim Layout-driven resource sharing in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Richard Cole 0002 Automated Layout of Concept Lattices Using Layered Diagrams and Additive Diagrams. Search on Bibsonomy ACSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez A Compact Layout Technique for Reducing Switching Current Effects in High Speed Circuits. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Rym M'Hallah, Ahlem Bouziri, Walid Jilani Layout of Two Dimensional Irregular Shapes Using Genetic Algorithms. Search on Bibsonomy IEA/AIE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Anupam Datta, Sidharth Choudhury, Anupam Basu, Hiroyuki Tomiyama, Nikil D. Dutt Satisfying Timing Constraints of Preemptive Real-Time Tasks through Task Layout Technique. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Fei Liu 0017, Yupin Luo, Dongcheng Hu, Masataka Yoshikawa A New Component Based Algorithm for Newspaper Layout Analysis. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Alan Borning, Richard Kuang-Hsu Lin, Kim Marriott Constraint-based document layout for the Web. Search on Bibsonomy Multim. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Gerard A. Allan Yield prediction by sampling IC layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Zhiwei Guan, Yang Li, Hongan Wang, Guozhong Dai A Comparison on Free Interaction Models for Mobile Layout System. Search on Bibsonomy HUC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Phillip E. Mitchell, Hong Yan 0001 Document Page Segmentation and Layout Analysis Using Soft Ordering. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Toyohide Watanabe, Tsuneo Sobue Layout Analysis of Complex Documents. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Richard Cole 0002 Automated Layout of Concept Lattices Using Force Directed Placement and Genetic Algorithms. Search on Bibsonomy ACSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Christoph Schlieder, Cornelius Hagen Interactive Layout Generation with a Diagrammatic Constraint Language. Search on Bibsonomy Spatial Cognition The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Tatiana Surazhsky, Gershon Elber Arbitrary Precise Orientation Specification for Layout of Text. Search on Bibsonomy PG The full citation details ... 2000 DBLP  DOI  BibTeX  RDF free-form parametric curves and surfaces, Composition, digital typography
22Chi-Hsiang Yeh, Emmanouel A. Varvarigos, Behrooz Parhami Multilayer VLSI Layout for Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Paul Chow, Soon Ong Seo, Jonathan Rose, Kevin Chung, Gerard Páez-Monzón, Immanuel Rahardja The design of a SRAM-based field-programmable gate array-Part II: Circuit design and layout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Peter F. Sweeney, Joseph Gil Space and Time-Efficient Memory Layout for Multiple Inheritance. Search on Bibsonomy OOPSLA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF C++
22Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky New Multilevel and Hierarchical Algorithms for Layout Density Control. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license