The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manycore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2008 (54) 2009 (24) 2010 (44) 2011 (35) 2012 (83) 2013 (52) 2014 (104) 2015 (66) 2016 (51) 2017 (65) 2018 (75) 2019 (52) 2020 (38) 2021 (39) 2022 (23) 2023 (23) 2024 (7)
Publication types (Num. hits)
article(210) book(2) incollection(7) inproceedings(559) phdthesis(51) proceedings(6)
Venues (Conferences, Journals, ...)
MCSoC(81) CoRR(41) IFMT(23) DATE(17) IPDPS(15) PARMA-DITAM@HiPEAC(12) DAC(11) J. Supercomput.(11) Euro-Par(10) RACES@SPLASH(10) ASP-DAC(9) ICCAD(9) ICCS(9) Concurr. Comput. Pract. Exp.(8) HPCS(8) ICPP(8) More (+10 of total 285)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 140 occurrences of 102 keywords

Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Nicolas Ventroux, Tanguy Sassolas A new parallel SystemC kernel leveraging manycore architectures. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Mohamed Lamine Karaoui, Pierre-Yves Peneau, Quentin L. Meunier, Franck Wajsbürt, Alain Greiner Exploiting Large Memory Using 32-Bit Energy-Efficient Manycore Architectures. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Abdoulaye Gamatié, Roman Ursu, Manuel Selva, Gilles Sassatelli Performance Prediction of Application Mapping in Manycore Systems with Artificial Neural Networks. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio Optimization of a Linked Cache Coherence Protocol for Scalable Manycore Coherence. Search on Bibsonomy ARCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16David Andrews 0001, Marco Platzner Programming models for reconfigurable manycore systems. Search on Bibsonomy ReCoSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Karthik Yagna, Onkar Patil, Frank Mueller 0001 Efficient and Predictable Group Communication for Manycore NoCs. Search on Bibsonomy ISC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Tareq M. Malas, Thorsten Kurth, Jack Deslippe Optimization of the Sparse Matrix-Vector Products of an IDR Krylov Iterative Solver in EMGeo for the Intel KNL Manycore Processor. Search on Bibsonomy ISC Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Janne Virtanen, Panu Sjovall, Marko Viitanen, Timo D. Hämäläinen, Jarno Vanne Distributed systemc simulation on manycore servers. Search on Bibsonomy NORCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Fang Gao, Zhangqin Huang, Zheng Wang, Shulong Wang An object detection acceleration framework based on low-power heterogeneous manycore architecture. Search on Bibsonomy WF-IoT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Martin Groen, Vincent Gramoli Multicore vs Manycore: The Energy Cost of Concurrency. Search on Bibsonomy Euro-Par The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Malgorzata Michalska, Simone Casale Brunet, Endri Bezati, Marco Mattavelli, Jörn W. Janneck Trace-based manycore partitioning of stream-processing applications. Search on Bibsonomy ACSSC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mehmet Deveci, Erik G. Boman, Karen D. Devine, Sivasankaran Rajamanickam Parallel Graph Coloring for Manycore Architectures. Search on Bibsonomy IPDPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Changwoo Min, Sanidhya Kashyap, Steffen Maass, Taesoo Kim Understanding Manycore Scalability of File Systems. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2016 DBLP  BibTeX  RDF
16Wonje Choi 0001, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Radu Marculescu, Diana Marculescu Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms. Search on Bibsonomy CASES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Arne Hendricks, Thomas Heller, Andreas Schäfer 0006, Maximilian Kasparek, Dietmar Fey Evaluating Performance and Energy-Efficiency of a Parallel Signal Correlation Algorithm on Current Multi and Manycore Architectures. Search on Bibsonomy ICCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Shaolong Chen, Miquel A. Senar Accelerating BWA Aligner Using Multistage Data Parallelization on Multicore and Manycore Architectures. Search on Bibsonomy ICCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Pierre-Edouard Beaucamps, Frédéric Blanc Kalray Demo: MPPA® manycore processor towards future ADAS system solutions. Search on Bibsonomy DASIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Vijeta Rathore, Vivek Chaturvedi, Thambipillai Srikanthan Performance Constraint-Aware Task Mapping to Optimize Lifetime Reliability of Manycore Systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Adam Page, Nasrin Attaran, Colin Shea, Houman Homayoun, Tinoosh Mohsenin Low-Power Manycore Accelerator for Personalized Biomedical Applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Vishwanathan Chandru, Frank Mueller 0001 Hybrid MPI/OpenMP programming on the Tilera manycore architecture. Search on Bibsonomy HPCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Luis-Pedro García, Javier Cuenca 0001, Francisco-José Herrera, Domingo Giménez On Guided Installation of Basic Linear Algebra Routines in Nodes with Manycore Components. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Süleyman Savas, Sebastian Raase, Essayas Gebrewahid, Zain-ul-Abdin, Tomas Nordström Dataflow Implementation of QR Decomposition on a Manycore. Search on Bibsonomy MES@ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jonathan Balkind, Michael McKeown, Yaosheng Fu, Tri Minh Nguyen 0003, Yanqi Zhou, Alexey Lavrov, Mohammad Shahrad, Adi Fuchs, Samuel Payne, Xiaohua Liang, Matthew Matl, David Wentzlaff OpenPiton: An Open Source Manycore Research Framework. Search on Bibsonomy ASPLOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Fang Gao, Zhangqin Huang, Shulong Wang, Xinrong Ji A Scalable Object Detection Framework Based on Embedded Manycore Cluster. Search on Bibsonomy CyberC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ashkan Tousimojarad GPRM : a high performance programming framework for manycore processors. Search on Bibsonomy 2016   RDF
16Ivan Llopard Programming Embedded Manycore : Refinement and Optimizing Compilation of a Parallel Action Language for Hierarchical State Machines. (Programmation de systèmes embarqués many-core : Raffinement et compilation optimisante d'un langage d'action parallèle pour machines à états hiérarchiques). Search on Bibsonomy 2016   RDF
16Duco van Amstel Data Locality on Manycore Architectures. (Optimisation de la Localité des Données sur Architectures Manycœurs). Search on Bibsonomy 2016   RDF
16Camille Gallet Étude de transformations et d'optimisations de code parallèle statique ou dynamique pour architecture "many-core". (Study of transformations and static or dynamic parallel code optimization for manycore architecture). Search on Bibsonomy 2016   RDF
16Mohamed Lamine Karaoui Système de fichiers scalable pour architectures many-cores à faible empreinte énergétique. (Scalable file system for energy-efficient manycore architectures). Search on Bibsonomy 2016   RDF
16Florian Kluge Manycore Operating Systems for Safety-Critical Systems (PDF / PS) Search on Bibsonomy 2016   RDF
16Ioannis S. Stamelakos Near-threshold computing with performance guarantees for manycore architecture. Search on Bibsonomy 2016   RDF
16Enzo Rucci, Carlos García 0001, Guillermo Botella, Armando De Giusti, Marcelo R. Naiouf, Manuel Prieto-Matías An energy-aware performance analysis of SWIMM: Smith-Waterman implementation on Intel's Multicore and Manycore architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Enrique Soriano-Salvador, Gorka Guardiola Muzquiz, Francisco J. Ballesteros Optimistic semaphores with non-deterministic choice operation for heterogeneous manycore systems. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiaowen Wu, Jiang Xu 0001, Yaoyao Ye, Xuan Wang 0001, Mahdi Nikdast, Zhehui Wang, Zhe Wang 0003 An Inter/Intra-Chip Optical Network for Manycore Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ruzica Jevtic, Hanh-Phuc Le, Milovan Blagojevic, Stevo Bailey, Krste Asanovic, Elad Alon, Borivoje Nikolic Per-Core DVFS With Switched-Capacitor Converters for Energy Efficiency in Manycore Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Germán León, José M. Molero, Ester M. Garzón, Inmaculada García, Antonio J. Plaza, Enrique S. Quintana-Ortí Exploring the performance-power-energy balance of low-power multicore and manycore architectures for anomaly detection in remote sensing. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiuxiu Bai, Endong Wang, Xiaoshe Dong, Xingjun Zhang A scalability prediction approach for multi-threaded applications on manycore processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Mageda Sharafeddine, Haitham Akkary A small and power efficient checkpoint core architecture for manycore processors. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sanjoy K. Baruah, Liliana Cucu-Grosjean, Robert I. Davis 0001, Claire Maiza Mixed Criticality on Multicore/Manycore Platforms (Dagstuhl Seminar 15121). Search on Bibsonomy Dagstuhl Reports The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ashkan Tousimojarad, Wim Vanderbauwhede Steal Locally, Share Globally - A Strategy for Multiprogramming in the Manycore Era. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Oana Stan, Renaud Sirdey, Jacques Carlier, Dritan Nace A GRASP metaheuristic for the robust mapping and routing of dataflow process networks on manycore architectures. Search on Bibsonomy 4OR The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hiroshi Nakashima Manycore challenge in particle-in-cell simulation: How to exploit 1 TFlops peak performance for simulation codes with irregular computation. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Emilio Francesquini, Márcio Castro 0001, Pedro Henrique Penna, Fabrice Dupros, Henrique C. Freitas, Philippe Olivier Alexandre Navaux, Jean-François Méhaut On the energy efficiency and performance of irregular application executions on multicore, NUMA and manycore platforms. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zixu Wu, Fangfa Fu, Yu Lu, Jinxiang Wang 0001 A role-changeable fault-tolerant management strategy towards resilient NoC-based manycore systems. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ananya Muddukrishna, Peter A. Jonsson, Mats Brorsson Locality-Aware Task Scheduling and Data Distribution for OpenMP Programs on NUMA Systems and Manycore Processors. Search on Bibsonomy Sci. Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sunita Chandrasekaran, Barbara M. Chapman, Xinmin Tian, Yonghong Yan 0001 Programming Models, Languages, and Compilers for Manycore and Heterogeneous Architectures. Search on Bibsonomy Sci. Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Benoît Dupont de Dinechin Kalray MPPA®: Massively parallel processor array: Revisiting DSP acceleration with the Kalray MPPA Manycore processor. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Taegyu Kim, Ki-Woong Park MalCore: Toward a Practical Malware Identification System Enhanced with Manycore Technology. Search on Bibsonomy ICISSP (Revised Selected Papers) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Taegyu Kim, Woomin Hwang, Chulmin Kim, Dong-Jae Shin, Ki-Woong Park, Kyu Ho Park Malfinder: Accelerated Malware Classification System through Filtering on Manycore System. Search on Bibsonomy ICISSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Patrick Eitschberger, Jörg Keller 0001 Energy-Efficient Task Scheduling in Manycore Processors with Frequency Scaling Overhead. Search on Bibsonomy PDP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sergi Abadal, Albert Mestres, Eduard Alarcón, Albert Cabellos-Aparicio, Raul Martinez Multicast On-chip Traffic Analysis Targeting Manycore NoC Design. Search on Bibsonomy PDP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ermis Papastefanakis, Bruno Maitre, Dominique Ragot Security Challenges in ManyCore Embedded Systems based on Networks-on-Chip (NoCs). Search on Bibsonomy WESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anastasiia Butko, Abdoulaye Gamatié, Gilles Sassatelli, Lionel Torres, Michel Robert Design Exploration for next Generation High-Performance Manycore On-chip Systems: Application to big.LITTLE Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tushar Rawat, Aviral Shrivastava Enabling multi-threaded applications on hybrid shared memory manycore architectures. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Mohammad Fattah, Pasi Liljeberg, Juha Plosila, Zainalabedin Navabi, Hannu Tenhunen Power-aware online testing of manycore systems in the dark silicon era. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Tri Minh Nguyen 0003, David Wentzlaff MORC: a manycore-oriented compressed cache. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anil Kanduri, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Predictable Application Mapping for Manycore Real-Time and Cyber-Physical Systems. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Alessandro Capotondi, Germain Haugou, Andrea Marongiu, Luca Benini Runtime Support for Multiple Offload-Based Programming Models on Embedded Manycore Accelerators. Search on Bibsonomy COSMIC@CGO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Alain Tchana, Brice Ekane, Boris Teabe, Daniel Hagimont VMcSim: A Detailed Manycore Simulator for Virtualized Systems. Search on Bibsonomy CLOUD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Guantao Liu, Tim Schmidt, Rainer Dömer, Ajit Dingankar, Desmond Kirkpatrick Optimizing thread-to-core mapping on manycore platforms with distributed Tag Directories. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anastasiia Butko, Rafael Garibotti, Luciano Ost, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Chris Adeniyi-Jones A trace-driven approach for fast and accurate simulation of manycore architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xi Zhang 0003, Haris Javaid, Muhammad Shafique 0001, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran ADAPT: An adaptive manycore methodology for software pipelined applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Giuseppe Tagliavini, Germain Haugou, Andrea Marongiu, Luca Benini A framework for optimizing OpenVX applications performance on embedded manycore accelerators. Search on Bibsonomy SCOPES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Clement Devigne, Jean-Baptiste Bréjon, Quentin L. Meunier, Franck Wajsbürt Executing secured virtual machines within a manycore architecture. Search on Bibsonomy NORCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yosi Ben-Asher, Yousef Shajrawi, Y. Gendel, Gadi Haber, Oren Segal A study of manycore shared memory architecture as a way to build SOC applications. Search on Bibsonomy SpringSim (HPS) The full citation details ... 2015 DBLP  BibTeX  RDF
16Junjie Qian, Du Li, Witawas Srisa-an, Hong Jiang 0001, Sharad C. Seth Factors affecting scalability of multithreaded Java applications on manycore systems. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuanchao Xu 0002, Hu Wan 0001, Lingjun Fan, Junfeng Yan Rethinking manycore caches for scale-out applications. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16George M. Slota, Sivasankaran Rajamanickam, Kamesh Madduri High-Performance Graph Analytics on Manycore Processors. Search on Bibsonomy IPDPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Bernard Goossens, David Parello, Katarzyna Porada, Djallal Rahmoune Toward a Core Design to Distribute an Execution on a Manycore Processor. Search on Bibsonomy PaCT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Oleg Bessonov Highly Parallel Multigrid Solvers for Multicore and Manycore Processors. Search on Bibsonomy PaCT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Francesco Lettich, Salvatore Orlando 0001, Claudio Silvestri Processing streams of spatial k-NN queries and position updates on manycore GPUs. Search on Bibsonomy SIGSPATIAL/GIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Vivek De Fine-Grain Power Management in Manycore Processor and System-on-Chip (SoC) Designs. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sharifa Al Khanjari, Wim Vanderbauwhede The Impact of Traffic Localisation on the Performance of NoCs for Very Large Manycore Systems. Search on Bibsonomy FNC/MobiSPC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Nicolas Melot, Johan Janzen, Christoph W. Kessler Mimer and Schedeval: Tools for Comparing Static Schedulers for Streaming Applications on Manycore Architectures. Search on Bibsonomy ICPP Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf A hardware-based multi-objective thread mapper for tiled manycore architectures. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Andreas Jocksch, Farah Hariri, Trach-Minh Tran, Stephan Brunner, Claudio Gheller, Laurent Villard A Bucket Sort Algorithm for the Particle-In-Cell Method on Manycore Architectures. Search on Bibsonomy PPAM (1) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Alex Druinsky, Pieter Ghysels, Xiaoye S. Li, Osni Marques, Samuel Williams 0001, Andrew T. Barker, Delyan Kalchev, Panayot S. Vassilevski Comparative Performance Analysis of Coarse Solvers for Algebraic Multigrid on Multicore and Manycore Architectures. Search on Bibsonomy PPAM (1) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tomohiro Misono, Ryohei Kobayashi, Shimpei Sato, Kenji Kise Effective Parallel Simulation of ArchHDL under Manycore Environment. Search on Bibsonomy CANDAR The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Michel Steuwer Verbesserung der Programmierbarkeit und Performance-Portabilität von Manycore-Prozessoren. Search on Bibsonomy Ausgezeichnete Informatikdissertationen The full citation details ... 2015 DBLP  BibTeX  RDF
16Julien Hascoet, Jean-François Nezan, Andrew Ensor, Benoît Dupont de Dinechin Implementation of a Fast Fourier transform algorithm onto a manycore processor. Search on Bibsonomy DASIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris Job-Arrival Aware Distributed Run-Time Resource Management on Intel SCC Manycore Platform. Search on Bibsonomy EUC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Vinícius Garcia Pinto, Vinicius Alves Herbstrith, Lucas Mello Schnorr Replicating the Performance Evaluation of an N-Body Application on a Manycore Accelerator. Search on Bibsonomy SBAC-PAD (Workshops) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Prateek Nagar, Fengguang Song, Luoding Zhu, Lan Lin LBM-IB: A Parallel Library to Solve 3D Fluid-Structure Interaction Problems on Manycore Systems. Search on Bibsonomy ICPP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Matthias Janetschek, Radu Prodan, Shajulin Benedict A workflow runtime environment for manycore parallel architectures. Search on Bibsonomy WORKS@SC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Akhil Langer, Ehsan Totoni, Udatta S. Palekar, Laxmikant V. Kalé Energy-efficient computing for HPC workloads on heterogeneous manycore chips. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Lluc Alvarez, Lluís Vilanova, Miquel Moretó, Marc Casas, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé, Mateo Valero Coherence protocol for transparent management of scratchpad memories in shared memory manycore architectures. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Alexandros Daglis, Stanko Novakovic, Edouard Bugnion, Babak Falsafi, Boris Grot Manycore network interfaces for in-memory rack-scale computing. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Haseeb Bokhari, Haris Javaid, Muhammad Shafique 0001, Jörg Henkel, Sri Parameswaran SuperNet: multimode interconnect architecture for manycore chips. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Deukhyun Cha, Qin Zhang, Jesmin Jahan Tithi, Alexander Rand, Rezaul Alam Chowdhury, Chandrajit L. Bajaj Accelerated molecular mechanical and solvation energetics on multicore CPUs and manycore GPUs. Search on Bibsonomy BCB The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ilknur Cansu Kaynak Shared Frontend for Manycore Server Processors. Search on Bibsonomy 2015   DOI  RDF
16Darko Petrovic Efficient Communication and Synchronization on Manycore Processors. Search on Bibsonomy 2015   DOI  RDF
16Christopher Callum Thompson On the simulation and design of manycore CMPs. Search on Bibsonomy 2015   RDF
16Karl-Eduard Berger Mapping of large task network on manycore architecture. (Placement de graphes de tâches de grande taille sur architectures massivement multicoeurs). Search on Bibsonomy 2015   RDF
16Anastasiia Butko Fast Cycle-approximate Simulation Techniques for Manycore Architecture Exploration. (Techniques de simulation rapide au niveau quasi cycle-precis pour l'exploration d'architectures manycoeurs). Search on Bibsonomy 2015   RDF
16Surya Narayanan Natarajan Modeling performance of serial and parallel sections of multi-threaded programs in manycore era. (Modélisation de la performance des sections séquentielles et parallèles au sein de programmes multithreadés à l'ère des many-coeurs). Search on Bibsonomy 2015   RDF
16Marco Ceriani Exploring architectural support for applications with irregular memory patterns on distributed manycore systems. Search on Bibsonomy 2015   RDF
16Eric T. Phipps, Jonathan J. Hu, Jakob T. Ostien Exploring emerging manycore architectures for uncertainty quantification through embedded stochastic Galerkin methods. Search on Bibsonomy Int. J. Comput. Math. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Gregorio Bernabé, Javier Cuenca 0001, Luis-Pedro García, Domingo Giménez Improving an autotuning engine for 3D Fast Wavelet Transform on manycore systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Frank S. de Boer, Einar Broch Johnsen, Dave Clarke 0001, Sophia Drossopoulou, Nobuko Yoshida, Tobias Wrigstad Scaling Future Software: The Manycore Challenge. Search on Bibsonomy ERCIM News The full citation details ... 2014 DBLP  BibTeX  RDF
16H. Carter Edwards, Christian R. Trott, Daniel Sunderland Kokkos: Enabling manycore performance portability through polymorphic memory access patterns. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 835 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license