The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Arnab Sarkar, P. P. Chakrabarti 0001, Rajeev Kumar 0004 Frame Based Fair Multiprocessor Scheduler: A Fast Fair Algorithm for Real-Time Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computation offloading, software partitioning
20Milo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, David A. Wood 0001 Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Tiehan Lv, Jiang Xu 0001, Wayne H. Wolf, I. Burak Özer, Jörg Henkel, Srimat T. Chakradhar A Methodology for Architectural Design of Multimedia Multiprocessor SoCs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Marko Bertogna, Michele Cirinei, Giuseppe Lipari Improved Schedulability Analysis of EDF on Multiprocessor Platforms. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah, Nathan Fisher Code-Size Minimization in Multiprocessor Real-Time Systems. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer An automated exploration framework for FPGA-based soft multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming
20Khaled Z. Ibrahim Efficient Architectural Support for Secure Bus-Based Shared Memory Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20UmaMaheswari C. Devi, James H. Anderson Tardiness Bounds under Global EDF Scheduling on a Multiprocessor. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah, Nathan Fisher The Partitioned Multiprocessor Scheduling of Sporadic Task Systems. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah, Nathan Fisher The Partitioned Scheduling of Sporadic Real-Time Tasks on Multiprocessor Platforms. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shared-memory multiprocessors, Sporadic tasks, partitioned scheduling
20Moo-Kyoung Chung, Heejun Shim, Chong-Min Kyung Performance Improvement of Multiprocessor Simulation by Optimizing Synchronization a Communication. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Andreas Fidjeland, Wayne Luk Customising Application-Speci.c Multiprocessor Systems: a Case Study. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Jian-Jia Chen, Tei-Wei Kuo Multiprocessor Energy-Efficient Scheduling for Real-Time Tasks with Different Power Characteristics. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Changhee Jung, Daeseob Lim, Jaejin Lee, Sangyong Han Adaptive execution techniques for SMT multiprocessor architectures. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simultaneous multi-threading, compilers, performance estimation, performance counters, adaptive execution
20Kyeong Keol Ryu, Vincent John Mooney III Automated bus generation for multiprocessor SoC design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Enrico Angelelli, Á. B. Nagy, Maria Grazia Speranza, Zsolt Tuza The On-Line Multiprocessor Scheduling Problem with Known Sum of the Tasks. Search on Bibsonomy J. Sched. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF competitive analysis, parallel processors, on-line scheduling
20Adriana C. F. Alvim, Celso C. Ribeiro A Hybrid Bin-Packing Heuristic to Multiprocessor Scheduling. Search on Bibsonomy WEA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Qiang Wu, Jinian Bian, Hongxi Xue A Distributed Architecture Model for Heterogeneous Multiprocessor System-on-Chip Design. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Simon Kågström, Lars Lundberg, Håkan Grahn A Novel Method for Adding Multiprocessor Support to a Large and Complex Uniprocessor Kernel. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Sanjoy K. Baruah Feasibility Analysis of Preemptive Real-Time Systems upon Heterogeneous Multiprocessor Platforms. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Periodic tasks, Preemptive Scheduling, Global Scheduling, Feasibility analysis, Heterogeneous Multiprocessors
20C. P. Ravikumar Multiprocessor Architectures for Embedded System-on-chip Applications. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Wayne H. Wolf The future of multiprocessor systems-on-chips. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time, low power, system-on-chip, embedded software, MPSoC
20Mohamed M. Zahran On cache memory hierarchy for Chip-Multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Nikos Pitsianis, Gerald G. Pechanek Indirect VLIW memory allocation for the ManArray multiprocessor DSP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Frédéric Pétrot, Pascal Gomez Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Kyeong Keol Ryu, Vincent John Mooney Automated Bus Generation for Multiprocessor SoC Design. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Hiroaki Takada, Shinya Honda, Reiji Nishiyama, Hiroshi Yuyama Hardware/Software Co-Configuration for Multiprocessor SoPC. Search on Bibsonomy WSTFES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Andrew J. Greensted, Andrew M. Tyrrell Fault Tolerance via Endocrinologic Based Communication for Multiprocessor Systems. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Peter Poplavko, Twan Basten, Marco Bekooij, Jef L. van Meerbergen, Bart Mesman Task-level timing models for guaranteed performance in multiprocessor networks-on-chip. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF buffer minimization, performance evaluation, real-time, system-on-chip, network-on-chip, data flow graph
20Terry Tao Ye, Giovanni De Micheli Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Antonio Miranda, Luz Torres, Jianer Chen On the Approximability of Multiprocessor Task Scheduling Problems. Search on Bibsonomy ISAAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Diana Hecht, Constantine Katsinis Protocols for Fault-Tolerant Distributed-Shared-Memory on the SOME-Bus Multiprocessor Architecture. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Mohamed Shalan, Vincent John Mooney III Hardware support for real-time embedded multiprocessor system-on-a-chip memory management. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Atalanta, SoCDMMU, real-time operating systems., two-level memory management, real-time systems, embedded systems, System-on-a-Chip, dynamic memory management
20Akira Yamawaki 0002, Masahiko Iwane Organization of Shared Memory with Synchronization for Multiprocessor-on-a-chip. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Tarek F. Abdelzaher, Björn Andersson, Jan Jonsson, Vivek Sharma 0004, Minh Nguyen The Aperiodic Multiprocessor Utilization Bound for Liquid Tasks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Real-time scheduling, schedulability analysis, utilization bounds, aperiodic tasks
20Leonid B. Sokolinsky Organization of Parallel Query Processing in Multiprocessor Database Machines with Hierarchical Architecture. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20I-Ling Yen, Farokh B. Bastani, David J. Taylor Design of Multi-Invariant Data Structures for Robust Shared Accesses in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Robust data structures, atomic transaction processing, fault-tolerant systems, real-time processing
20Kyeong Keol Ryu, Eung S. Shin, Vincent John Mooney III A Comparison of Five Different Multiprocessor SoC Bus Architectures. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Vida Kianzad, Shuvra S. Bhattacharyya Multiprocessor Clustering for Embedded Systems. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Trefor J. Delve, Nathan Smith Use of DaSSF in a scalable multiprocessor wireless simulation architecture. Search on Bibsonomy WSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Wlodzimierz M. Zuberek Analysis of Performance Limitations in Multithreaded Multiprocessor Architectures. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20David F. Bacon, C. Richard Attanasio, Han Bok Lee, V. T. Rajan, Stephen E. Smith Java without the Coffee Breaks: A Nonintrusive Multiprocessor Garbage Collector. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java
20Kazuhisa Ishizaka, Motoki Obata, Hironori Kasahara Coarse Grain Task Parallel Processing with Cache Optimization on Shared Memory Multiprocessor. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Philippas Tsigas, Yi Zhang 0004 A simple, fast and scalable non-blocking concurrent FIFO queue for shared memory multiprocessor systems. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Victor B. Lortz, Kang G. Shin, Jinho Kim MDARTS: A Multiprocessor Database Architecture for Hard Real-Time Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF exemplar-based programming, atomic data types, concurrency control, shared memory, object-oriented systems, Real-time databases, semantic constraints
20Klaus Jansen, Lorant Porkolab Polynominal Time Approximation Schemes for General Multiprocessor Job Shop Scheduling. Search on Bibsonomy ICALP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Suchendra M. Bhandarkar, Shankar R. Chandrasekaran Parallel Parsing of MPEG Video in a Multi-threaded Multiprocessor Environment. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Klaus Jansen, Maxim Sviridenko Polynomial Time Approximation Schemes for the Multiprocessor Open and Flow Shop Scheduling Problem. Search on Bibsonomy STACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Ricardo C. Corrêa, Afonso Ferreira, Pascal Rebreyend Scheduling Multiprocessor Tasks with Genetic Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF list heuristics for scheduling problems, genetic algorithms, optimization, Multiprocessors, NP-hard, scheduling problems
20Guy E. Blelloch, Perry Cheng On bounding time and space for multiprocessor garbage collection (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Charalampos Konstantopoulos, Andreas Svolos, Christos Kaklamanis Hierarchical Block Matching Motion Estimation on a Hypercube Multiprocessor. Search on Bibsonomy ACPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Lucian Codrescu, D. Scott Wills Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Markus Rudack, Dirk Niggemeyer Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20G. Manimaran, C. Siva Ram Murthy A Fault-Tolerant Dynamic Scheduling Algorithm for Multiprocessor Real-Time Systems and Its Analysis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF resource reclaiming, run-time anomaly, fault tolerance, Real-time system, dynamic scheduling, safety critical application
20Pao-Ann Hsiung, Chung-Hwang Chen, Trong-Yen Lee, Sao-Jie Chen ICOS: an intelligent concurrent object-oriented synthesis methodology for multiprocessor systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrent object-oriented system-level synthesis, fuzzy design-space exploration, learning
20Lance Hammond, Mark Willey, Kunle Olukotun Data Speculation Support for a Chip Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Chunming Qiao, Rami G. Melhem Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fiber-optical interconnects, time slot interchangers, switching networks, time division multiplexing, Communication latency
20Peter Buchholz 0001 Efficient Analysis Techniques for Symmetric Multiprocessor Architecture. Search on Bibsonomy MASCOTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Choung Shik Park, Sang-Bang Choi Multiprocessor Scheduling Algorithm Utilizing Linear Clustering of Directed Acyclic Graphs. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Shuvra S. Bhattacharyya, Sundararajan Sriram, Edward A. Lee Self-Timed Resynchronization: A Post-Optimization for Static Multiprocessor Schedules. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF inter-processor communication overhead, scheduling, embedded systems, synchronization, multiprocessors, static schedules
20Reinhard Bündgen, Manfred Göbel, Wolfgang Küchlin A Master-Slave Approach to Parallel Term Rewriting on a Hierarchical Multiprocessor. Search on Bibsonomy DISCO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Andrew Sohn, Mitsuhisa Sato, Shuichi Sakai, Yuetsu Kodama, Yoshinori Yamaguchi Nonnumeric search results on the EM-4 distributed-memory multiprocessor. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20G. N. Srinivasa Prasanna, Bruce R. Musicus Generalized multiprocessor scheduling for directed acyclic graphs. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Ahmed Gheith, Karsten Schwan CHAOS-arc: Kernel Support for Multiweight Objects, Invocations, and Atomicity in Real-Time Multiprocessor Applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Jacqueline Chame, Michel Dubois 0001 Cache Inclusion and Processor Sampling in Multiprocessor Simulations. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Patrick Odent, Luc J. M. Claesen, Hugo De Man Acceleration of relaxation-based circuit simulation using a multiprocessor system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20François Baccelli, Zhen Liu 0001 On the Execution of Parallel Programs on Multiprocessor Systems-A Queuing Theory Approach Search on Bibsonomy J. ACM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20David A. Wood 0001, Garth A. Gibson, Randy H. Katz Verifying a Multiprocessor Cache Controller Using Random Test Generation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Petr Kroha, Peter Fritzson A Compiler with Scheduling for a Specialized Synchronous Multiprocessor System. Search on Bibsonomy CC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Susan J. Eggers, David Keppel, Eric J. Koldinger, Henry M. Levy Techniques for Efficient Inline Tracing on a Shared-Memory Multiprocessor. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Josep Torrellas, John L. Hennessy, Thierry Weil Analysis of Critical Architectural and Program Parameters in a Hierarchical Shared Memory Multiprocessor. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Florian Höpfl, J. Schirrmacher, M. Trent A Distributed Shared Memory Multiprocessor Kit with Scalable Local Complexity. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF memory coupling, variable restricted neighbourhood, clustering
20Tomoyuki Tanaka, Shigeru Uzuhara Multiprocessor Common Lisp on TOP-1. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Kai Hwang 0001, Michel Dubois 0001, Dhabaleswar K. Panda 0001, S. Rao, Shisheng Shang, Aydin Üresin, W. Mao, H. Nair, M. Lytwyn, F. Hsieh, J. Liu, Sharad Mehrotra, Chien-Ming Cheng OMP: a RISC-based multiprocessor using orthogonal-access memories and multiple spanning buses. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Hironori Kasahara, Hiroki Honda, Seinosuke Narita Parallel processing of near fine grain tasks using static scheduling OSCAR (optimally scheduled advanced multiprocessor). Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF FORTRAN
20Sanjay Sharma, Allen D. Malony, Michael W. Berry, Priyamvada Sinvhal-Sharma Run-time monitoring of concurrent programs on the Cedar multiprocessor. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Roger L. Wainwright Parallel Sieve Algorithms on a Hypercube Multiprocessor. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Alan L. Cox, Robert J. Fowler The Implementation of a Coherent Memory Abstraction on a NUMA Multiprocessor: Experiences with PLATINUM. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Marco Annaratone, Roland Rühl Performance Measurements on a Commercial Multiprocessor Running Parallel Code. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF C, FORTRAN
20Wolf-Dietrich Weber, Anoop Gupta Exploring the Benefits of Multiple Hardware Contexts in a Multiprocessor Architecture: Preliminary Results. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Anant Agarwal, Anoop Gupta Memory-Reference Characteristics of Multiprocessor Applications under MACH. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF VAX
20Scott T. Leutenegger, Mary K. Vernon A Mean-Value Performance Analysis of a New Multiprocessor Architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
20P. Sadayappan, V. Visvanathan Parallelization and performance evaluation of circuit simulation on a shared-memory multiprocessor. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
20Michael Young, Avadis Tevanian, Richard F. Rashid, David B. Golub, Jeffrey L. Eppinger, Jonathan Chew, William J. Bolosky, David L. Black 0001, Robert V. Baron The Duality of Memory and Communication in the Implementation of a Multiprocessor Operating System. Search on Bibsonomy SOSP The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Stuart E. Madnick, Y. Richard Wang Modeling Multiprocessor Computer Systems with Unbalanced Flows. Search on Bibsonomy SIGMETRICS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Peter Brezany Parallel Compilation on a Multiprocessor System. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
20Leslie Jill Miller A heterogeneous multiprocessor design and the distributed scheduling of its task group workload. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
20Mario Tokoro, Taisuke Watanabe, Katsura Kawakami, Jun Sugano, Katsuhiko Noda PM/II: multiprocessor oriented byte-sliced LSI processor modules. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
20Calvin K. Tang Cache system design in the tightly coupled multiprocessor system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
20Pawan Singh, Nirayo Hailu Gebreegziabher Energy-aware online non-clairvoyant multiprocessor scheduling: multiprocessor priority round robin. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Tarun K. Agrawal, Aryabartta Sahu, Manojit Ghose, R. Sharma Scheduling chained multiprocessor tasks onto large multiprocessor system. Search on Bibsonomy Computing The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Tarun K. Agrawal, R. Sharma, Manojit Ghose, Aryabartta Sahu Scheduling Chained Multiprocessor Tasks onto Large Multiprocessor System. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
20Artem Burmyakov, Enrico Bini, Eduardo Tovar The generalized multiprocessor periodic resource interface model for hierarchical multiprocessor scheduling. Search on Bibsonomy RTNS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Jim Ras, Albert M. K. Cheng An Evaluation of the Dynamic and Static Multiprocessor Priority Ceiling Protocol and the Multiprocessor Stack Resource Policy in an SMP System. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Damien Lyonnard Approche d'assemblage systématique d'éléments d'interface pour la génération d'architecture multiprocesseur = An approach for the systematic gathering of interface items toward the generation of multiprocessor architectures. (An approach for the systematic gathering of interface items toward the generation of multiprocessor architectures). Search on Bibsonomy 2003   RDF
20Samy Meftali Exploration d'architectures et allocation/affectation mémoire dans les systèmes multiprocesseurs mono puce = Architectures exploration and memory allocation/assignment in multiprocessor SoC. (Architectures exploration and memory allocation/assignment in multiprocessor SoC). Search on Bibsonomy 2002   RDF
19Lei Ju 0001, Bach Khoa Huynh, Abhik Roychoudhury, Samarjit Chakraborty Timing analysis of esterel programs on general-purpose multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multiprocessor, timing analysis, synchronous language, esterel
19Theodore P. Baker, Sanjoy K. Baruah An analysis of global edf schedulability for arbitrary-deadline sporadic task systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sporadic task systems, Schedulability analysis, Multiprocessor scheduling, EDF, Earliest deadline first, Global scheduling, Arbitrary deadlines
19Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
Displaying result #401 - #500 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license