|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4621 occurrences of 2215 keywords
|
|
|
Results
Found 5283 publication records. Showing 5283 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Makoto Sato, Takashi Hirooka, Kiyomi Wada, Fujio Yamamoto |
Program Partitioning Optimizations in an HPF Prototype Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: COMPSAC '96 - 20th Computer Software and Applications Conference, August 19-23, 1996, Seoul, Korea, pp. 124-131, 1996, IEEE Computer Society, 0-8186-7579-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Guido Araujo, Ashok Sudarsanam, Sharad Malik |
Instruction Set Design and Optimizations for Address Computation in DSP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 102-107, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Ganesh Gopalakrishnan, Venkatesh Akella |
High-level optimizations in compiling process descriptions to asynchronous circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 7(1-2), pp. 33-45, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Monica S. Lam |
Locality Optimizations for Parallel Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 17-28, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Jean-Michel Ilié, Omar Rojas |
On Well-Formed Nets and Optimizations in Enabling Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Application and Theory of Petri Nets ![In: Application and Theory of Petri Nets 1993, 14th International Conference, Chicago, Illinois, USA, June 21-25, 1993, Proceedings, pp. 300-318, 1993, Springer, 3-540-56863-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
17 | Seema Hiranandani, Ken Kennedy, Chau-Wen Tseng |
Evaluation of compiler optimizations for Fortran D on MIMD distributed memory machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 1-14, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
FORTRAN |
17 | George H. Roberts |
From Recursive Ascent to Recursive Descent: Via Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 25(4), pp. 83-89, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Martin Hardwick |
Why Rose is Fast: Five Optimizations in the Design of an Experimental Database System for CAD/CAM Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the Association for Computing Machinery Special Interest Group on Management of Data 1987 Annual Conference, San Francisco, CA, USA, May 27-29, 1987, pp. 292-298, 1987, ACM Press, 978-0-89791-236-5. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
ROSE |
17 | David J. Kuck, Robert H. Kuhn, David A. Padua, Bruce Leasure, Michael Wolfe |
Dependence Graphs and Compiler Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Eighth Annual ACM Symposium on Principles of Programming Languages, Williamsburg, Virginia, USA, January 1981, pp. 207-218, 1981, ACM Press, 0-89791-029-X. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
|
15 | Kenneth Hoste, Andy Georges, Lieven Eeckhout |
Automated just-in-time compiler tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 62-72, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compiler tuning, machine learning, java virtual machine (JVM), evolutionary search, just-in-time (JIT) compiler |
15 | Mathias Payer, Thomas R. Gross |
Generating low-overhead dynamic binary translators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SYSTOR ![In: Proceedings of of SYSTOR 2010: The 3rd Annual Haifa Experimental Systems Conference, Haifa, Israel, May 24-26, 2010, 2010, ACM, 978-1-60558-908-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
optimization, binary translation, dynamic instrumentation, dynamic translation |
15 | Yang Chen, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Liang Peng, Olivier Temam, Chengyong Wu |
Evaluating iterative optimization across 1000 datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 448-459, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
benchmarking, compiler optimization, iterative optimization |
15 | Thomas Neumann 0001, Matthias Bender 0001, Sebastian Michel 0001, Ralf Schenkel, Peter Triantafillou, Gerhard Weikum |
Distributed top-k aggregation queries at large. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Parallel Databases ![In: Distributed Parallel Databases 26(1), pp. 3-27, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Query optimization, Cost models, Top-k, Distributed queries |
15 | Lik Wong, Nimar S. Arora, Lei Gao, Thuvan Hoang, Jingwei Wu |
Oracle Streams: A High Performance Implementation for Near Real Time Asynchronous Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 25th International Conference on Data Engineering, ICDE 2009, March 29 2009 - April 2 2009, Shanghai, China, pp. 1363-1374, 2009, IEEE Computer Society, 978-0-7695-3545-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
15 | Dan Knights, Todd Mytkowicz, Peter F. Sweeney, Michael C. Mozer, Amer Diwan |
Blind Optimization for Exploiting Hardware Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 18th International Conference, CC 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 251-265, 2009, Springer, 978-3-642-00721-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
15 | Eric Bodden, Feng Chen 0006, Grigore Rosu |
Dependent advice: a general approach to optimizing history-based aspects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AOSD ![In: Proceedings of the 8th International Conference on Aspect-Oriented Software Development, AOSD 2009, Charlottesville, Virginia, USA, March 2-6, 2009, pp. 3-14, 2009, ACM, 978-1-60558-442-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
compilation, domain-specific languages, runtime verification, static program analysis |
15 | Byunghyun Jang, Synho Do, Homer H. Pien, David R. Kaeli |
Architecture-aware optimization targeting multithreaded stream computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPGPU ![In: Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU 2009, Washington, DC, USA, March 8, 2009, pp. 62-70, 2009, ACM, 978-1-60558-517-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Brook+, optimization, GPGPU |
15 | Kaushik Kumar Ram, Jose Renato Santos, Yoshio Turner, Alan L. Cox, Scott Rixner |
Achieving 10 Gb/s using safe and transparent network interface virtualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 5th International Conference on Virtual Execution Environments, VEE 2009, Washington, DC, USA, March 11-13, 2009, pp. 61-70, 2009, ACM, 978-1-60558-375-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
performance analysis, networking, virtual machine, virtualization, device drivers, i/o |
15 | Thomas Kotzmann, Christian Wimmer, Hanspeter Mössenböck, Thomas Rodriguez, Kenneth B. Russell, David Cox |
Design of the Java HotSpot™ client compiler for Java 6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(1), pp. 7:1-7:32, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
deoptimization, Java, optimization, compiler, register allocation, just-in-time compilation, intermediate representation |
15 | Zhelong Pan, Rudolf Eigenmann |
PEAK - a fast and effective performance tuning system via compiler optimization orchestration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 30(3), pp. 17:1-17:43, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
optimization orchestration, Performance tuning, dynamic compilation |
15 | An Liu, Peng Ning |
TinyECC: A Configurable Library for Elliptic Curve Cryptography in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 7th International Conference on Information Processing in Sensor Networks, IPSN 2008, St. Louis, Missouri, USA, April 22-24, 2008, pp. 245-256, 2008, IEEE Computer Society, 978-0-7695-3157-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
TinyECC, ECC, public key cryptography, Wireless sensor network security |
15 | Prateek Saxena, R. Sekar 0001, Varun Puranik |
Efficient fine-grained binary instrumentationwith applications to taint-tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 74-83, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
binary analysis/rewriting, taint tracking, information flow |
15 | Brian R. Murphy, Vijay Menon 0002, Florian T. Schneider, Tatiana Shpeisman, Ali-Reza Adl-Tabatabai |
Fault-safe code motion for type-safe languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 144-154, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
safe code motion, safety dependences, speculative code motion, scheduling, code motion, partial redundancy elimination, intermediate representations |
15 | Yogish Sabharwal, Saurabh Kumar Garg 0001, Rahul Garg 0001, John A. Gunnels, Ramendra K. Sahoo |
Optimization of Fast Fourier Transforms on the Blue Gene/L Supercomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings, pp. 309-322, 2008, Springer, 978-3-540-89893-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Ying Zhang 0032, Qiang Dou, Gen Li 0002, Xuejun Yang, Yongjin Li, Caixia Huang |
Mapping and Optimizing 2-D Jacobi Iteration on a Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 389-396, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Keith D. Cooper, Timothy J. Harvey, Todd Waterman |
An Adaptive Strategy for Inline Substitution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 17th International Conference, CC 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29 - April 6, 2008. Proceedings, pp. 69-84, 2008, Springer, 978-3-540-78790-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Ying Zhang 0032, Xuejun Yang, Guibin Wang, Ian Rogers, Gen Li 0002, Yu Deng 0001, Xiaobo Yan |
Scientific Computing Applications on a Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings, pp. 105-114, 2008, IEEE Computer Society, 978-1-4244-2232-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Sun C. Chan, Guang R. Gao, Barbara M. Chapman, T. Linthicum, A. Dasgupta |
Open64 compiler infrastructure for emerging multicore/manycore architecture All Symposium Tutorial. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Peter Gottschling, Andrew Lumsdaine |
Integrating semantics and compilation: using c++ concepts to develop robust and efficient reusable libraries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, 7th International Conference, GPCE 2008, Nashville, TN, USA, October 19-23, 2008, Proceedings, pp. 67-76, 2008, ACM, 978-1-60558-267-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
semantic verification, optimization, c++, concepts, loop unrolling, semantic properties |
15 | Brian S. White, Sally A. McKee, Daniel J. Quinlan |
A projection-based optimization framework for abstractions with application to the unstructured mesh domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 104-113, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
high-level abstraction semantics, rose, unstructured mesh |
15 | Gaurav Khanna 0002, Ümit V. Çatalyürek, Tahsin M. Kurç, Rajkumar Kettimuthu, P. Sadayappan, Ian T. Foster, Joel H. Saltz |
Using overlays for efficient data transfer over shared wide-area networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2008, November 15-21, 2008, Austin, Texas, USA, pp. 47, 2008, IEEE/ACM, 978-1-4244-2835-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Imad Khoury, Rami M. El-Mawas, Oussama El-Rawas, Elias F. Mounayar, Hassan Artail |
An Efficient Web Page Change Detection System Based on an Optimized Hungarian Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 19(5), pp. 599-613, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
change monitoring, Hungarian algorithm, change detection, Web page, runtime optimization |
15 | Robert Bernecky |
Shape cliques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGAPL APL Quote Quad ![In: ACM SIGAPL APL Quote Quad 35(3), pp. 7-17, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Qin Wang, Junpu Chen, Weihua Zhang, Min Yang 0002, Binyu Zang |
Optimizing software cache performance of packet processing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 227-236, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optimization, network processor, local memory |
15 | Nitzan Peleg, Bilha Mendelson |
Detecting Change in Program Behavior for Adaptive Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 150-162, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Lazaros Papadopoulos, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris |
Application - specific NoC platform design based on System Level Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), May 9-11, 2007, Porto Alegre, Brazil, pp. 311-316, 2007, IEEE Computer Society, 0-7695-2896-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Florian Dittmann 0001, Achim Rettberg, Raphael Weber |
Optimization techniques for a reconfigurable, self-timed, and bit-serial architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 153-158, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optimization, high-level synthesis, bit-serial architecture |
15 | Gao Cong, Wenfei Fan, Anastasios Kementsietsidis |
Distributed query evaluation with performance guarantees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, Beijing, China, June 12-14, 2007, pp. 509-520, 2007, ACM, 978-1-59593-686-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Xpath queries, distributed XML documents, parallel query processing |
15 | David A. Bader, Viktor K. Prasanna |
DOSA: Design Optimizer for Scientific Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-6, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Subarna Sinha, Charles C. Chiang |
A methodology for fast and accurate yield factor estimation during global routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 481-487, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Ahmad Zmily, Christos Kozyrakis |
A low power front-end for embedded processors using a block-aware instruction set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 267-276, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching |
15 | Bao Rong Chang, Hsiu Fen Tsai |
Performance Evaluation of Three Kinds of Quantum Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISICA ![In: Advances in Computation and Intelligence, Second International Symposium, ISICA 2007, Wuhan, China, September 21-23, 2007, Proceedings, pp. 224-233, 2007, Springer, 978-3-540-74580-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
quantum minimization, neuromprphic quantum-based optimization, logarithmic search with quantum existence testing |
15 | Michael Penner, Viktor K. Prasanna |
Cache-Friendly implementations of transitive closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Exp. Algorithmics ![In: ACM J. Exp. Algorithmics 11, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Floyd-Warshall algorithm, systolic array algorithms, Data structures |
15 | Dhananjay Kulkarni, Walid A. Najjar, Robert Rinker, Fadi J. Kurdahi |
Compile-time area estimation for LUT-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 104-122, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reconfigurable computing, compiler optimization, resource estimation |
15 | Marcelo de Almeida Maia, Marcus Vinicius de Melo Rocha, Ítalo S. Cunha, Jussara M. Almeida, Sérgio Vale Aguiar Campos |
Network bandwidth requirements for optimized streaming media transmission to interactive users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WebMedia ![In: Proceedings of the 12th Brazilian Symposium on Multimedia and the Web, WebMedia 2006, Natal, Rio Grande do Norte, Brazil, November 19-22, 2006, pp. 283-292, 2006, ACM, 85-7669-100-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Alpana Dubey, Pankaj Jalote, Sanjeev Kumar Aggarwal |
A deterministic technique for extracting keyword based grammar rules from programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 1631-1632, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CYK parser, LR parser, grammar extraction, programming language dialects, programming languages |
15 | Xianglong Huang, Stephen M. Blackburn, David Grove, Kathryn S. McKinley |
Fast and efficient partial code reordering: taking advantage of dynamic recompilatior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 5th International Symposium on Memory Management, ISMM 2006, Ottawa, Ontario, Canada, June 10-11, 2006, pp. 184-192, 2006, ACM, 1-59593-221-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
locality, dynamic, instruction, JIT compilation |
15 | Noel Eisley, Li-Shiuan Peh, Li Shang |
In-Network Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 321-332, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Sven Bittner, Annika Hinze |
Optimizing Pub/Sub Systems by Advertisement Pruning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Conferences (2) ![In: On the Move to Meaningful Internet Systems 2006: CoopIS, DOA, GADA, and ODBASE, OTM Confederated International Conferences, CoopIS, DOA, GADA, and ODBASE 2006, Montpellier, France, October 29 - November 3, 2006. Proceedings, Part II, pp. 1503-1521, 2006, Springer, 3-540-48274-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Jon Sneyers, Tom Schrijvers, Bart Demoen |
Memory Reuse for CHR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 22nd International Conference, ICLP 2006, Seattle, WA, USA, August 17-20, 2006, Proceedings, pp. 72-86, 2006, Springer, 3-540-36635-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Xiaofei Liao, Hai Jin 0001 |
IOStreaming: Intersecting-Overlays Based Live-Streaming Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN/ICONS/MCL ![In: Fifth International Conference on Networking and the International Conference on Systems (ICN / ICONS / MCL 2006), 23-29 April 2006, Mauritius, pp. 100, 2006, IEEE Computer Society, 0-7695-2552-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | John Cavazos, Christophe Dubach, Felix V. Agakov, Edwin V. Bonilla, Michael F. P. O'Boyle, Grigori Fursin, Olivier Temam |
Automatic performance model construction for the fast software exploration of new hardware designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 24-34, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
machine learning, architecture, artificial neural networks, performance modelling, compiler optimization |
15 | Sayaka Akioka, Konrad Malkowski, Padma Raghavan, Mary Jane Irwin, Lois C. McInnes, Boyana Norris |
Characterizing the Performance and Energy Attributes of Scientific Simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (1) ![In: Computational Science - ICCS 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part I, pp. 242-249, 2006, Springer, 3-540-34379-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Denis Barthou, Sébastien Donadio, Alexandre Duchateau, William Jalby, E. Courtois |
Iterative Compilation with Kernel Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 19th International Workshop, LCPC 2006, New Orleans, LA, USA, November 2-4, 2006. Revised Papers, pp. 173-189, 2006, Springer, 978-3-540-72520-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Jamal Siadat, Robert J. Walker, Cameron Kiddle |
Optimization aspects in network simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AOSD ![In: Proceedings of the 5th International Conference on Aspect-Oriented Software Development, AOSD 2006, Bonn, Germany, March 20-24, 2006, pp. 122-133, 2006, ACM, 1-59593-300-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
IP-TN, fine-grained join points, optimization, performance, evaluation, modularity, network simulation, AspectC++ |
15 | Naveen Kumar 0002, Bruce R. Childers, Mary Lou Soffa |
Low overhead program monitoring and profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 2005 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, PASTE'05, Lisbon, Portugal, September 5-6, 2005, pp. 28-34, 2005, ACM, 1-59593-239-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
instrumentation optimization, profiling, dynamic instrumentation, dynamic binary translation |
15 | Wei Huang 0003, Gopalakrishnan Santhanaraman, Hyun-Wook Jin, Dhabaleswar K. Panda 0001 |
Design Alternatives and Performance Trade-Offs for Implementing MPI-2 over InfiniBand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European PVM/MPI Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings, pp. 191-199, 2005, Springer, 3-540-29009-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MPI-2, RDMA channel, CH3, ADI3, InfiniBand |
15 | Frank McSherry |
A uniform approach to accelerated PageRank computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 14th international conference on World Wide Web, WWW 2005, Chiba, Japan, May 10-14, 2005, pp. 575-582, 2005, ACM, 1-59593-046-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
random walks, link analysis, PageRank, web graph |
15 | Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen |
Studying interactions between prefetching and cache line turnoff. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 545-548, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Easwaran Raman, David I. August |
Recursive data structure profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Memory System Performance ![In: Proceedings of the 2005 workshop on Memory System Performance, Chicago, Illinois, USA, June 12, 2005, pp. 5-14, 2005, ACM, 1-59593-147-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
RDS, dynamic shape graph, list linearization, memory profiling, shape profiling |
15 | Zhifeng Chen, Yan Zhang 0004, Yuanyuan Zhou 0001, Heidi Scott, Berni Schiefer |
Empirical evaluation of multi-level buffer cache collaboration for storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2005, June 6-10, 2005, Banff, Alberta, Canada, pp. 145-156, 2005, ACM, 1-59593-022-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
collaborative caching, database, file system, storage system |
15 | Jon Sneyers, Tom Schrijvers, Bart Demoen |
Guard and Continuation Optimization for Occurrence Representations of CHR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 21st International Conference, ICLP 2005, Sitges, Spain, October 2-5, 2005, Proceedings, pp. 83-97, 2005, Springer, 3-540-29208-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Carlos Ordonez 0001 |
Optimizing recursive queries in SQL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, Baltimore, Maryland, USA, June 14-16, 2005, pp. 834-839, 2005, ACM, 1-59593-060-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Henrik Nilsson |
Dynamic optimization for functional reactive programming using generalized algebraic data types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 10th ACM SIGPLAN International Conference on Functional Programming, ICFP 2005, Tallinn, Estonia, September 26-28, 2005, pp. 54-65, 2005, ACM, 1-59593-064-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DSEL, FRP, Yampa, synchronous dataflow languages, functional programming, domain-specific languages, Haskell, reactive programming, GADT, combinator library, arrows |
15 | Juho Lahti, Jari K. Juntunen, Olli Lehtoranta, Timo D. Hämäläinen |
Algorithmic optimization of H.264/AVC encoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 3463-3466, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez |
Chained In-Order/Out-of-Order DoubleCore Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 209-217, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Amir Roth |
Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 458-468, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Litong Song, Krishna M. Kavi |
What can we gain by unfolding loops? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 39(2), pp. 26-33, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
loop peeling, loop quasi invariant code motion, quasi-index variable, quasi-invariant variable, loop unrolling |
15 | Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee |
Interprocedural Probabilistic Pointer Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(10), pp. 893-907, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis |
15 | Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir |
Energy management schemes for memory-resident database systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2004 ACM CIKM International Conference on Information and Knowledge Management, Washington, DC, USA, November 8-13, 2004, pp. 218-227, 2004, ACM, 1-58113-874-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hardware energy scheme, multiquery optimization, query-directed energy management, database, energy, power consumption, DRAM |
15 | Peter Suaris, Lung-Tien Liu, Yuzheng Ding, Nan-Chi Chou |
Incremental physical resynthesis for timing optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, FPGA 2004, Monterey, California, USA, February 22-24, 2004, pp. 99-108, 2004, ACM, 1-58113-829-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
FPGA, placement, logic synthesis, timing optimization |
15 | Rodrigo Rodrigues 0001, Charles Blake 0001 |
When Multi-hop Peer-to-Peer Lookup Matters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPTPS ![In: Peer-to-Peer Systems III, Third International Workshop, IPTPS 2004, La Jolla, CA, USA, February 26-27, 2004, Revised Selected Papers, pp. 112-122, 2004, Springer, 3-540-24252-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | James R. Ezick |
An optimizing compiler for batches of temporal logic formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2004, Boston, Massachusetts, USA, July 11-14, 2004, pp. 183-194, 2004, ACM, 1-58113-820-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model checking, temporal logic, optimizing compiler |
15 | Zhengrong Ji, Junlan Zhou, Mineo Takai, Rajive L. Bagrodia |
Scalable simulation of large-scale wireless networks with bounded inaccuracies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 7th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2004, Venice, Italy, October 4-6, 2004, pp. 62-69, 2004, ACM, 1-58113-953-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scalability, wireless network, discrete event simulation |
15 | Jiahua Zhu, HongJiang Zhang, Hui Shi, Binyu Zang, Chuanqi Zhu |
Overflow Controlled SIMD Arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for High Performance Computing, 17th International Workshop, LCPC 2004, West Lafayette, IN, USA, September 22-24, 2004, Revised Selected Papers, pp. 424-438, 2004, Springer, 3-540-28009-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Thomas Kistler, Michael Franz |
Continuous program optimization: A case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 25(4), pp. 500-548, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
continuous program optimization, dynamic reoptimization, Dynamic code generation |
15 | Yang Liu, Zhaoqing Zhang, Ruliang Qiao, Roy Dz-Ching Ju |
A Region-Based Compilation Infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 8 February 2003, Anaheim, CA, USA, pp. 75-84, 2003, IEEE Computer Society, 0-7695-1889-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Single-Entry-Multiple-Exit (SEME) Region, Multiple-Entry-Multiple-Exit (MEME) Region and Compiler Optimization, Region, Interval |
15 | Litong Song, Krishna M. Kavi, Ron Cytron |
An Unfolding-Based Loop Optimization Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Software and Compilers for Embedded Systems, 7th International Workshop, SCOPES 2003, Vienna, Austria, September 24-26, 2003, Proceedings, pp. 117-132, 2003, Springer, 3-540-20145-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Bernhard Scholz, Eduard Mehofer, R. Nigel Horspool |
Partial Redundancy Elimination with Predication Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 242-250, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Henri E. Bal |
A Java-Based Grid Programming Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 4, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Ulf Assarsson, Michael Dougherty, Michael Mounier, Tomas Akenine-Möller |
An optimized soft shadow volume algorithm with real-time performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Hardware ![In: Proceedings of the 2003 ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware, San Diego, California, USA, July 26-27, 2003, pp. 33-40, 2003, Eurographics Association, 1-58113-739-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Mandana Vaziri, Daniel Jackson 0001 |
Checking Properties of Heap-Manipulating Procedures with a Constraint Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 9th International Conference, TACAS 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 505-520, 2003, Springer, 3-540-00898-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Tushar Mohan, Bronis R. de Supinski, Sally A. McKee, Frank Mueller 0001, Andy Yoo, Martin Schulz 0001 |
Identifying and Exploiting Spatial Regularity in Data Memory References. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2003 Conference on High Performance Networking and Computing, 15-21 November 2003, Phoenix, AZ, USA, CD-Rom, pp. 49, 2003, ACM, 1-58113-695-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Joachim Worringen |
Pipelining and Overlapping for MPI Collective Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 28th Annual IEEE Conference on Local Computer Networks (LCN 2003), The Conference on Leading Edge and Practical Computer Networking, 20-24 October 2003, Bonn/Königswinter, Germany, Proceedings, pp. 548-557, 2003, IEEE Computer Society, 0-7695-2037-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
MPI, pipelining, overlapping, SCI, collective operations |
15 | Sebastian Unger, Frank Mueller 0001 |
Handling irreducible loops: optimized node splitting versus DJ-graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 24(4), pp. 299-333, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
irreducible flowgraphs, reducible flowgraphs, compilation, instruction-level parallelism, Code optimization, loops, control flow graphs, node splitting |
15 | Hendra Saputra, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Jie S. Hu, Chung-Hsing Hsu, Ulrich Kremer |
Energy-conscious compilation based on voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES-SCOPES ![In: Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems (LCTES'02-SCOPES'02), Berlin, Germany, 19-21 June 2002, pp. 2-11, 2002, ACM, 1-58113-527-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
energy-aware compilation, optimizing compilers, voltage scaling, loop transformations |
15 | Peter Marwedel |
Embedded Software: How To Make It Efficient? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), Systems-on-Chip, 4-6 September 2002, Dortmund, Germany, pp. 201-209, 2002, IEEE Computer Society, 0-7695-1790-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Alex Ramírez, Oliverio J. Santana, Josep Lluís Larriba-Pey, Mateo Valero |
Fetching instruction streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 371-382, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Systems Application Architecture |
15 | Matthew Arnold, Barbara G. Ryder |
Thin Guards: A Simple and Effective Technique for Reducing the Penalty of Dynamic Class Loading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2002 - Object-Oriented Programming, 16th European Conference, Malaga, Spain, June 10-14, 2002, Proceedings, pp. 498-524, 2002, Springer, 3-540-43759-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Hasnain A. Mandviwala, Nissim Harel, Kathleen Knobe, Umakishore Ramachandran |
A Comparative Study of Stampede Garbage Collection Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 15th Workshop, LCPC 2002, College Park, MD, USA, July 25-27, 2002, Revised Papers, pp. 249-264, 2002, Springer, 3-540-30781-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Matthew C. Merten, Andrew R. Trick, Ronald D. Barnes, Erik M. Nystrom, Christopher N. George, John C. Gyllenhaal, Wen-mei W. Hwu |
An Architectural Framework for Runtime Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(6), pp. 567-589, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Postlink optimization, hardware profiling, low-overhead profiling, program hot spot, partial function inlining, trace formation and optimization, dynamic optimization, runtime optimization, code layout |
15 | Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg |
Data and memory optimization techniques for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(2), pp. 149-206, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
data optimization, memory architecture customization, memory power dissipation, high-level synthesis, survey, SRAM, allocation, data cache, DRAM, register file, architecture exploration, code transformation, address generation, size estimation |
15 | Michael Penner, Viktor K. Prasanna |
Cache-Friendly Implementations of Transitive Closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 185-196, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Trishul M. Chilimbi |
On the Stability of Temporal Data Reference Profiles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 151-160, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Craig L. Plunkett, Alfred G. Striz, J. Sobieszczanski-Sobieski |
Application of MPI in Displacement Based Multilevel Structural Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 8th European PVM/MPI Users' Group Meeting, Santorini/Thera, Greece, September 23-26, 2001, Proceedings, pp. 335-343, 2001, Springer, 3-540-42609-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Arch D. Robison |
Impact of economics on compiler optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Java Grande ![In: Proceedings of the ACM 2001 Java Grande Conference, Stanford University, California, USA, June 2-4, 2001, pp. 1-10, 2001, ACM, 1-58113-359-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
optimization, compilers, economics |
15 | Brian Fahs, Satarupa Bose, Matthew M. Crum, Brian Slechta, Francesco Spadini, Tony Tung, Sanjay J. Patel, Steven S. Lumetta |
Performance characterization of a hardware mechanism for dynamic optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 16-27, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Chandra Krintz, Brad Calder |
Using Annotation to Reduce Dynamic Optimization Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, Utah, USA, June 20-22, 2001, pp. 156-167, 2001, ACM, 1-58113-414-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Java |
15 | Trishul M. Chilimbi |
Efficient Representations and Abstractions for Quantifying and Exploiting Data Reference Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, Utah, USA, June 20-22, 2001, pp. 191-202, 2001, ACM, 1-58113-414-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Eun-Jin Im, Katherine A. Yelick |
Optimizing Sparse Matrix Computations for Register Reuse in SPARSITY. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (1) ![In: Computational Science - ICCS 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part I, pp. 127-136, 2001, Springer, 3-540-42232-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 5283 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|