The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Nicolai Fiege, Patrick Sittel, Peter Zipf Improving Energy Efficiency in Loop Pipelining by Rational-II Modulo Scheduling. Search on Bibsonomy FCCM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jianyi Cheng, John Wickerson, George A. Constantinides Dynamic C-Slow Pipelining for HLS. Search on Bibsonomy FCCM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yan Hong, Munehiro Fukuda Pipelining Graph Construction and Agent-based Computation over Distributed Memory. Search on Bibsonomy IEEE Big Data The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yehuda Kra, Adam Teman Silicon-Proven Clockless Wave-Propagated Pipelining for High-Throughput, Energy-Efficient Processing. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Tim Kaler, Nickolas Stathas, Anne Ouyang, Alexandros-Stavros Iliopoulos, Tao B. Schardl, Charles E. Leiserson, Jie Chen 0007 Accelerating Training and Inference of Graph Neural Networks with Fast Sampling and Pipelining. Search on Bibsonomy MLSys The full citation details ... 2022 DBLP  BibTeX  RDF
15Oscar G. Bautista, Kemal Akkaya Network-Efficient Pipelining-Based Secure Multiparty Computation for Machine Learning Applications. Search on Bibsonomy LCN The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hongwu Peng, Shaoyi Huang, Shiyang Chen, Bingbing Li, Tong Geng, Ang Li 0006, Weiwen Jiang, Wujie Wen, Jinbo Bi, Hang Liu 0001, Caiwen Ding A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Miguel Ferreiro-Díaz, Tomás R. Cotos-Yáñez, José Ramón Méndez 0001, David Ruano-Ordás The bdpar Package: Big Data Pipelining Architecture for R. Search on Bibsonomy R J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yi Li 0043, Meng Wu, Xiaochun Ye, Wenming Li, Rui Xue, Da Wang, Hao Zhang 0009, Dongrui Fan An efficient scheduling algorithm for dataflow architecture using loop-pipelining. Search on Bibsonomy Inf. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Sajid Mohamed, Dip Goswami, Sayandip De, Twan Basten Optimizing Multiprocessor Image-Based Control Through Pipelining and Parallelism. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Carl Poelking, Felix A. Faber, Bingqing Cheng BenchML: an extensible pipelining framework for benchmarking representations of materials and molecules at scale. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Tim Kaler, Nickolas Stathas, Anne Ouyang, Alexandros-Stavros Iliopoulos, Tao B. Schardl, Charles E. Leiserson, Jie Chen 0007 Accelerating Training and Inference of Graph Neural Networks with Fast Sampling and Pipelining. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Qingyang Yi, Heming Sun, Masahiro Fujita FPGA Based Accelerator for Neural Networks Computation with Flexible Pipelining. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Kaushik Ayinala, Baek-Young Choi, Sejun Song PiChu: Accelerating Block Broadcasting in Blockchain Networks with Pipelining and Chunking. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Chaoyang He 0001, Shen Li, Mahdi Soltanolkotabi, Salman Avestimehr PipeTransformer: Automated Elastic Pipelining for Distributed Training of Transformers. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Nanda K. Unnikrishnan, Keshab K. Parhi LayerPipe: Accelerating Deep Neural Network Training by Intra-Layer and Inter-Layer Gradient Pipelining and Multiprocessor Scheduling. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Xiaolu Li 0002, Zuoru Yang, Jinhong Li, Runhui Li, Patrick P. C. Lee, Qun Huang 0001, Yuchong Hu Repair Pipelining for Erasure-coded Storage: Algorithms and Evaluation. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Licheng Guo, Yuze Chi, Jie Wang 0022, Jason Lau, Weikang Qiao, Ecenur Ustun, Zhiru Zhang, Jason Cong AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency HLS Design on Multi-Die FPGAs. Search on Bibsonomy FPGA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yehuda Kra, Tzachi Noy, Adam Teman WP 2.0: Signoff-Quality Implementation and Validation of Energy-Efficient Clock-Less Wave Propagated Pipelining. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Krishna Rajendram Bashyam Repair Pipelining for Clay-Coded Storage. Search on Bibsonomy COMSNETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jianyi Cheng, John Wickerson, George A. Constantinides Exploiting the Correlation between Dependence Distance and Latency in Loop Pipelining for HLS. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Takaya Miyazawa, Ved P. Kafle, Hitoshi Asaeda Data Analytics Using Two-Stage Intelligent Model Pipelining for Virtual Network Functions. Search on Bibsonomy CloudNet The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15John D. Leidel, Ryan Kabrick, David Donofrio Toward an Automated Hardware Pipelining LLVM Pass Infrastructure. Search on Bibsonomy LLVM-HPC@SC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Dajiang Liu, Ting Liu, Xingyu Mo, Jiaxing Shang, Shouyi Yin Polyhedral-based Pipelining of Imperfectly-Nested Loop for CGRAs. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Nanda K. Unnikrishnan, Keshab K. Parhi LayerPipe: Accelerating Deep Neural Network Training by Intra-Layer and Inter-Layer Gradient Pipelining and Multiprocessor Scheduling. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Abdulqader Nael Mahmoud, Frederic Vanderveken, Christoph Adelmann, Florin Ciubotaru, Said Hamdioui, Sorin Cotofana Achieving Wave Pipelining in Spin Wave Technology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Shimin Wu, Dawei Sun 0001, Shang Gao 0003, Guangyan Zhang End-to-End Dynamic Pipelining Tuning Strategy for Small Files Transfer. Search on Bibsonomy BROADNETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Chaoyang He 0001, Shen Li, Mahdi Soltanolkotabi, Salman Avestimehr PipeTransformer: Automated Elastic Pipelining for Distributed Training of Large-scale Models. Search on Bibsonomy ICML The full citation details ... 2021 DBLP  BibTeX  RDF
15Kevin Nagorny, Sebastian Scholze, Armando Walter Colombo, José Barata Oliveira A DIN Spec 91345 RAMI 4.0 Compliant Data Pipelining Model: An Approach to Support Data Understanding and Data Acquisition in Smart Manufacturing Environments. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Steven Derrien, Thibaut Marty, Simon Rokicki, Tomofumi Yuki Toward Speculative Loop Pipelining for High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hiromu Miyazaki, Takuto Kanamori, Md. Ashraful Islam, Kenji Kise RVCoreP : An optimized RISC-V soft processor of five-stage pipelining. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Jingzhe Guo, Mingsheng Ying Software Pipelining for Quantum Loop Programs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Umair Ullah Tariq, Hui Wu 0001, Suhaimi Abd Ishak Energy and memory-aware software pipelining streaming applications on NoC-based MPSoCs. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hiromu Miyazaki, Takuto Kanamori, Md. Ashraful Islam, Kenji Kise RVCoreP: An Optimized RISC-V Soft Processor of Five-Stage Pipelining. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Akshay Parashar, Arun Abraham, Deepak Chaudhary, Vikram Nelvoy Rajendiran Processor Pipelining Method for Efficient Deep Neural Network Inference on Embedded Devices. Search on Bibsonomy HiPC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Kaushik Ayinala, Baek-Young Choi, Sejun Song Accelerating block propagation in PoW blockchain networks with pipelining and chunking. Search on Bibsonomy HotSoS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xiaoyan Hu, Xiaoyi Song, Shaoqi Zheng, Ruidong Li, Guang Cheng 0001, Jian Gong Towards Network Coding and Request Pipelining Enabled NDN for Big Data Transmission. Search on Bibsonomy GLOBECOM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nael Mizanur Rahman, Edward Lee, Venkata Chaitanya Krishna Chekuri, Arvind Singh, Saibal Mukhopadhyay A Configurable Dual-Mode PRINCE Cipher with Security Aware Pipelining in 65nm for High Throughput Applications. Search on Bibsonomy CICC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Reza Mirosanlou, Mohamed Hassan 0002, Rodolfo Pellizzoni DRAMbulism: Balancing Performance and Predictability through Dynamic Pipelining. Search on Bibsonomy RTAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Luke Beckwith, William Diehl New Directions for NewHope: Improving Performance of Post-Quantum Cryptography through Algorithm-level Pipelining. Search on Bibsonomy FPT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Qingkai Shi, Charles Zhang 0001 Pipelining bottom-up data flow analysis. Search on Bibsonomy ICSE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Kaushik Ayinala, Baek-Young Choi, Sejun Song PiChu: Accelerating Block Broadcasting in Blockchain Networks with Pipelining and Chunking. Search on Bibsonomy Blockchain The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Alexandre Honorat Modeling, Scheduling, Pipelining and Configuration of Synchronous Dataflow Graphs with Throughput Constraints. (Modélisation, Ordonnancement, Pipelinage et Configuration de Graphes Synchrones de Flux de Données sous Contrainte de Cadence). Search on Bibsonomy 2020   RDF
15Massimo Torquati, Daniele De Sensi, Gabriele Mencagli, Marco Aldinucci, Marco Danelutto Power-aware pipelining with automatic concurrency control. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Teng Xu 0001, Miodrag Potkonjak Circuit power optimization using pipelining and dual-supply voltage assignment. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Syed Waqar Nabi, Wim Vanderbauwhede Automatic Pipelining and Vectorization of Scientific Code for FPGAs. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Felix Kwok, Benjamin W. Ong Schwarz Waveform Relaxation with Adaptive Pipelining. Search on Bibsonomy SIAM J. Sci. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yong-Jo Ahn, Jonghun Yoo, Hyun-Ho Jo, Donggyu Sim Software pipelining with CGA and proposed intrinsics on a reconfigurable processor for HEVC decoders. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Qihua Zhou, Kun Wang 0005, Peng Li 0017, Deze Zeng, Song Guo 0001, Baoliu Ye, Minyi Guo Fast Coflow Scheduling via Traffic Compression and Stage Pipelining in Datacenter Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Xiaolu Li 0002, Zuoru Yang, Jinhong Li, Runhui Li, Patrick P. C. Lee, Qun Huang 0001, Yuchong Hu Repair Pipelining for Erasure-Coded Storage: Algorithms and Evaluation. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Siegfried Cools, Jeffrey Cornelis, Pieter Ghysels, Wim Vanroose Improving strong scaling of the Conjugate Gradient method for solving large linear systems using global reduction pipelining. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Gauthier Voron, Vincent Gramoli Dispel: Byzantine SMR with Distributed Pipelining. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Mark Akselrod, Markus Fidler Statistical delay bounds for automatic repeat request protocols with pipelining. Search on Bibsonomy Perform. Evaluation The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15P. S. Ramesh, S. Letitia An evaluation framework for auto-conversion of 2D to 3D video streaming using depth profile and pipelining technique in handheld cellular devices. Search on Bibsonomy Clust. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Nathan Vance, Md. Tahmid Rashid, Daniel Zhang 0001, Dong Wang 0002 Towards Reliability in Online High-Churn Edge Computing: A Deviceless Pipelining Approach. Search on Bibsonomy SMARTCOMP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Denis F. L. Nunes, Silvio Roberto Fernandes de Araujo, Márcio Eduardo Kreutz Optimizing an Architecture with Software Pipelining Strategies. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hossein Omidian, Guy G. F. Lemieux Low-Level Loop Analysis and Pipelining of Applications Mapped to Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Seungbum Baek, Jason Kamran Eshraghian, Sang-Hyun Ahn, Alex James 0001, Kyoung-Rok Cho A Memristor-CMOS Braun Multiplier Array for Arithmetic Pipelining. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Siddhisanket Raskar, Thomas Applencourt, Kalyan Kumaran, Guang Gao Position Paper: Extending Codelet Model for Dataflow Software Pipelining using Software-Hardware Co-Design. Search on Bibsonomy COMPSAC (2) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Udit Agarwal, Vijaya Ramachandran Distributed Weighted All Pairs Shortest Paths Through Pipelining. Search on Bibsonomy IPDPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Claus Stadler, Lisa Wenige, Michael Martin 0001, Sebastian Tramp, Kurt Junghanns RDF-based Deployment Pipelining for Efficient Dataset Release Management. Search on Bibsonomy SEMANTiCS (Posters & Demos) The full citation details ... 2019 DBLP  BibTeX  RDF
15Junichi Sakamoto, Yusuke Nagahama, Daisuke Fujimoto, Yota Okuaki, Tsutomu Matsumoto Low-Latency Pairing Processor Architecture Using Fully-Unrolled Quotient Pipelining Montgomery Multiplier. Search on Bibsonomy AsianHOST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Takaaki Miyajima, Tomoya Hirao, Naoya Miyamoto, Jeongdo Son, Kentaro Sano A software bridged data transfer on a FPGA cluster by using pipelining and InfiniBand verbs. Search on Bibsonomy HEART The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Youki Sada, Masayuki Shimoda, Akira Jinguji, Hiroki Nakahara A Dataflow Pipelining Architecture for Tile Segmentation with a Sparse MobileNet on an FPGA. Search on Bibsonomy FPT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ali Asghar, Rick van Loo, Timon Kruiper, Daniel Ziener Optimizing FPGA-Based Streaming Applications for Throughput Using Pipelining. Search on Bibsonomy FPT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Shuai Mu 0001, Sebastian Angel, Dennis E. Shasha Deferred Runtime Pipelining for contentious multicore software transactions. Search on Bibsonomy EuroSys The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Xin Zhou, Rongchun Li, Peng Zhang 0035, Yuntao Liu, Yong Dou A Pipelining Strategy for Accelerating Convolutional Networks on ARM Processors. Search on Bibsonomy PAAP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Amalia Duch, Daniel Lugosi, Edelmira Pasarella, Cristina Zoltan Dynamic Pipelining of Multidimensional Range Queries. Search on Bibsonomy AMW The full citation details ... 2019 DBLP  BibTeX  RDF
15Evaggelia Pitoura Pipelining. Search on Bibsonomy Encyclopedia of Database Systems (2nd ed.) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Abdul-Malik H. Y. Saad, Mohd Z. Abdullah High-Speed Fractal Image Compression Featuring Deep Data Pipelining Strategy. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Xu Tan 0001, Xiaochun Ye, Xiaowei Shen, Yuanchao Xu 0003, Da Wang, Lunkai Zhang, Wenming Li, Dongrui Fan, Zhimin Tang A Pipelining Loop Optimization Method for Dataflow Architecture. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Seyed Mohamad Taghi Adl, Mohammad Mirzaei, Siamak Mohammadi Elastic buffer evaluation for link pipelining under process variation. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Evandro De Souza, Ioanis Nikolaidis Increasing Aggregation Convergecast Data Collection Frequency through Pipelining. Search on Bibsonomy Wirel. Commun. Mob. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Aristeidis Mastoras, Thomas R. Gross Unifying Fixed Code Mapping, Communication, Synchronization and Scheduling Algorithms for Efficient and Scalable Loop Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Junyi Liu, John Wickerson, Samuel Bayliss, George A. Constantinides Polyhedral-Based Dynamic Loop Pipelining for High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Udit Agarwal, Vijaya Ramachandran A Faster Deterministic Distributed Algorithm for Weighted APSP Through Pipelining. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
15Weiwen Jiang, Edwin Hsing-Mean Sha, Xianzhang Chen, Lin Wu 0002, Qingfeng Zhuge Synthesizing distributed pipelining systems with timing constraints via optimal functional unit assignment and communication selection. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Junchang Wang, Shaojin Cheng, Xiong Fu SDN Programming for Heterogeneous Switches with Flow Table Pipelining. Search on Bibsonomy Sci. Program. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Mark Akselrod, Markus Fidler Statistical Delay Bounds for Automatic Repeat Request Protocols with Pipelining. Search on Bibsonomy ITC (1) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yue Zhu 0002, Fahim Chowdhury, Huansong Fu, Adam Moody, Kathryn M. Mohror, Kento Sato, Weikuan Yu Entropy-Aware I/O Pipelining for Large-Scale Deep Learning on HPC Systems. Search on Bibsonomy MASCOTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Chinnapong Angsuchotmetee, Richard Chbeir, Yudith Cardinale, Shohei Yokoyama A pipelining-based framework for processing events in multimedia sensor networks. Search on Bibsonomy SAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jason Cong, Peng Wei 0004, Cody Hao Yu From JVM to FPGA: Bridging Abstraction Hierarchy via Optimized Deep Pipelining. Search on Bibsonomy HotCloud The full citation details ... 2018 DBLP  BibTeX  RDF
15Xinjian Luo, Qianni Deng, Tao Liao Pipelining collaborative test for improving student performance in introductory programming courses. Search on Bibsonomy ITiCSE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Renuka Patel, Sanjay Kumar Visualizing effect of dependency in superscalar pipelining. Search on Bibsonomy RAIT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Madison N. Emas, Austin Baylis, Greg Stitt High-Frequency Absorption-FIFO Pipelining for Stratix 10 HyperFlex. Search on Bibsonomy FCCM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Atsushi Koshiba, Ryuichi Sakamoto, Mitaro Namiki OpenCL Runtime for OS-Driven Task Pipelining on Heterogeneous Accelerators. Search on Bibsonomy RTCSA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Leandro de Souza Rosa, Vanderlei Bonato, Christos-Savvas Bouganis Scaling Up Loop Pipelining for High-Level Synthesis: A Non-iterative Approach. Search on Bibsonomy FPT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Chunhui He, Xinyu Feng 0001 POMP: Protocol Oblivious SDN Programming with Automatic Multi-Table Pipelining. Search on Bibsonomy INFOCOM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Georgios Dimitriou, Michael F. Dossis, Georgios I. Stamoulis Operation Dependencies in Loop Pipelining for High-Level Synthesis. Search on Bibsonomy SEEDA-CECNSM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Michael F. Dossis, Georgios Dimitriou Resolving Loop Pipelining Issues in the CCC High-level Synthesis E-CAD Framework. Search on Bibsonomy TSP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Artem Chikin, Tyler Gobran, José Nelson Amaral OpenMP Code Offloading: Splitting GPU Kernels, Pipelining Communication and Computation, and Selecting Better Grid Geometries. Search on Bibsonomy WACCPD@SC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Qianni Deng, Xinjian Luo PipE2: An Innovative Pipelining Design for Collaborative Two-Stage Exams. Search on Bibsonomy SIGITE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Nuno Miguel Cardanha Paulino, João Canas Ferreira, João M. P. Cardoso Generation of Customized Accelerators for Loop Pipelining of Binary Instruction Traces. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Gai Liu, Mingxing Tan, Steve Dai, Ritchie Zhao, Zhiru Zhang Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Mohammad Reza Azarbad, Bijan Alizadeh Scalable SMT-Based Equivalence Checking of Nested Loop Pipelining in Behavioral Synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Lars Arge, Mathias Rav, Svend C. Svendsen, Jakob Truelsen External Memory Pipelining Made Easy With TPIE. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
15Marcel Rieger, Martin Erdmann, Benjamin Fischer, Robert Fischer 0008 Design and Execution of make-like, distributed Analyses based on Spotify's Pipelining Package Luigi. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
15Ricardo Morla Effect of Pipelining and Multiplexing in Estimating HTTP/2.0 Web Object Sizes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
15Jie Dong, Zhi-Jiang Yao, Min-Feng Zhu, Ning-Ning Wang, Ben Lu, Alex F. Chen, Aiping Lu, Hongyu Miao 0001, Wen-Bin Zeng, Dong-Sheng Cao 0001 ChemSAR: an online pipelining platform for molecular SAR modeling. Search on Bibsonomy J. Cheminformatics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Stefan Weithoffer, Kira Kraft, Norbert Wehn Bit-level pipelining for highly parallel turbo-code decoders: A critical assessment. Search on Bibsonomy AFRICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license