The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Jinjun Xiong Tutorial-1: Machine learning and deep learning. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Debiprasanna Sahoo, Swaraj Sha, Manoranjan Satpathy, Madhu Mutyam, Laxmi Narayan Bhuyan CAMO: A novel cache management organization for GPGPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Dae-Woong Park, Dzuhri Radityo Utomo, Jong-Phil Hong, Sang-Gug Lee 0001 A 230-260GHz wideband amplifier in 65nm CMOS based on dual-peak Gmax-core. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Nour Sayed, Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Seyeon Yoo, Seojin Choi, Juyeop Kim, Heein Yoon, Yongsun Lee, Jaehyouk Choi Injection-locked frequency multiplier with a continuous frequency-tracking loop for 5G transceivers. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kai Neubauer, Christian Haubelt, Philipp Wanko, Torsten Schaub Utilizing quad-trees for efficient design space exploration with partial assignment evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hyunwoo Son, Hwasuk Cho, Jahyun Koo 0001, Youngwoo Ji, Byungsub Kim, Hong-June Park, Jae-Yoon Sim A low-power wide dynamic-range current readout circuit for biosensors. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zhiheng Wang 0002, Soheil Mohajer, Kia Bazargan Low latency parallel implementation of traditionally-called stochastic circuits using deterministic shuffling networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Mohammad Saber Golanbari, Anteneh Gebregiorgis, Elyas Moradi, Saman Kiamehr, Mehdi Baradaran Tahoori Balancing resiliency and energy efficiency of functional units in ultra-low power systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hongbin Zhang, Chao Zhang, Qingda Hu, Chengmo Yang, Jiwu Shu Performance analysis on structure of racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Che-Lun Hsu, Shaofeng Guo, Yibo Lin, Xiaoqing Xu, Meng Li 0004, Runsheng Wang, Ru Huang, David Z. Pan Layout-dependent aging mitigation for critical path timing. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Xueyan Wang, Qiang Zhou 0001, Yici Cai, Gang Qu 0001 A conflict-free approach for parallelizing SAT-based de-camouflaging attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Letian Huang, Shuyu Chen, Qiong Wu, Masoumeh Ebrahimi, Junshi Wang, Shuyan Jiang, Qiang Li 0021 A lifetime-aware mapping algorithm to extend MTTF of Networks-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Tin-Yin Lai, Martin D. F. Wong A highly compressed timing macro-modeling algorithm for hierarchical and incremental timing analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Sergii Osmolovskyi, Johann Knechtel, Igor L. Markov, Jens Lienig Optimal die placement for interposer-based 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Min Soo Kim 0003, Alberto A. Del Barrio, Román Hermida, Nader Bagherzadeh Low-power implementation of Mitchell's approximate logarithmic multiplication for convolutional neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Keith A. Campbell, Chen-Hsuan Lin, Deming Chen Low-cost hardware architectures for mersenne modulo functional units. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Siyu Liao, Liutong Zhou, Xuan Di, Bo Yuan 0001, Jinjun Xiong Large-scale short-term urban taxi demand forecasting using deep learning. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Ji-Hoon Lee, Kwangmin Kim, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim A 16.6-pJ/b 150-Mb/s body-channel communication transceiver with decision feedback equalization improving >200x area efficiency. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Sangwoo Lee, Woojin Jo, Seung-Woo Song, Youngcheol Chae A 300-pW audio ΑΣ modulator with 100.5-dB DR using dynamic bias inverter. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Motomi Ishizuka, Kohei Yamada, Hiroki Ishikuro Design of resource sharing reconfigurable ΔΣ SAR-ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Anand Ramachandran 0001, Huiren Li, Eric W. Klee, Steven S. Lumetta, Deming Chen Deep Learning for Better Variant Calling for Cancer Diagnosis and Treatment. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Farhana Parveen, Zhezhi He, Shaahin Angizi, Deliang Fan HielM: Highly flexible in-memory computing using STT MRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Florencia Irena, Daniel Murphy, Sri Parameswaran CryptoBlaze: A partially homomorphic processor with multiple instructions and non-deterministic encryption support. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hyeji Kim, Jinyeon Lim, Yeongmin Lee, Woojin Yun, Young-Gyu Kim, Wonseok Choi 0013, Asim Khan, Muhammad Umar Karim Khan, Said Homidov, Hyun Sang Park, Chong-Min Kyung Real-time depth map processor for offset aperture based single camera system. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Guan-Ruei Lu, Bhargab B. Bhattacharya, Tsung-Yi Ho, Hung-Ming Chen Multi-level droplet routing in active-matrix based digital-microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Arun Chandrasekharan, Stephan Eggersglüß, Daniel Große, Rolf Drechsler Approximation-aware testing for approximate circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Daifeng Guo, Hongbo Zhang 0001, Martin D. F. Wong On coloring rectangular and diagonal grid graphs for multiple patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Ruizhou Ding, Zeye Liu 0001, R. D. (Shawn) Blanton, Diana Marculescu Quantized deep neural networks for energy efficient hardware-based inference. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jian-Hao Huang, Ren-Shuo Liu DI-SSD: Desymmetrized interconnection architecture and dynamic timing calibration for solid-state drives. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chieh-Fu Chang, Che-Wei Chang, Yuan-Hao Chang 0001, Ming-Chang Yang Rethinking self-balancing binary search tree over phase change memory with write asymmetry. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yi Cai 0003, Tianqi Tang 0001, Lixue Xia, Ming Cheng, Zhenhua Zhu, Yu Wang 0002, Huazhong Yang Training low bitwidth convolutional neural network on RRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Norman Chang, Ajay Baranwal, Hao Zhuang, Ming-Chih Shih, Rahul Rajan, Yaowei Jia, Hui-Lun Liao, Ying-Shiun Li, Ting Ku, Rex Lin Machine learning based generic violation waiver system with application on electromigration sign-off. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Se-un Shin, Sang-Hui Park, Gyu-Hyeong Cho A reconfigurable SIMO system with 10-output dual-bus DC-DC converter using the load balancing function in group allocator for diversified load condition. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Yeonho Lee 0002, Yoonjae Choi, Chulwoo Kim 12Gb/s over four balanced lines utilizing NRZ braid clock signaling with 100% data payload and spread transition scheme for 8K UHD intra-panel interface. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jung-Woo Chang, Suk-Ju Kang Optimizing FPGA-based convolutional neural networks accelerator for image super-resolution. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Behnam Khodabandeloo, Ahmad Khonsari, Alireza Majidi, Mohammad Hassan Hajiesmaili Task assignment and scheduling in MPSoC under process variation: A stochastic approach. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Han Zhou 0002, Yijing Sun, Zeyu Sun 0001, Hengyang Zhao, Sheldon X.-D. Tan Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kyeongrok Jo, Seyong Ahn, Taewhan Kim, Kyu-Myung Choi Cohesive techniques for cell layout optimization supporting 2D metal-1 routing completion. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Tao Liu 0023, Lei Jiang 0001, Yier Jin, Gang Quan, Wujie Wen PT-spike: A precise-time-dependent single spike neuromorphic architecture with efficient supervised learning. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Qi Liu 0017, Tao Liu 0023, Zihao Liu, Yanzhi Wang, Yier Jin, Wujie Wen Security analysis and enhancement of model compressed deep learning systems under adversarial attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Junwon Jeong, Seokhyeon Jeong, Chulwoo Kim, Dennis Sylvester, David T. Blaauw A 42nJ/conversion on-demand state-of-charge indicator for miniature IoT Li-ion batteries. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zeyu Sun 0001, Sheriff Sadiqbatcha, Hengyang Zhao, Sheldon X.-D. Tan Accelerating electromigration aging for fast failure detection for nanometer ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Zheng Zhao 0003, Zheng Wang 0036, Zhoufeng Ying, Shounak Dhar, Ray T. Chen, David Z. Pan Logic synthesis for energy-efficient photonic integrated circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Bin Lin, Fei Xie SCBench: A benchmark design suite for SystemC verification and validation. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Bing Li 0017, Wei Wen, Jiachen Mao, Sicheng Li, Yiran Chen 0001, Hai Helen Li Running sparse and low-precision neural network: When algorithm meets hardware. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Hyunseok Hwang, Hyeyeon Lee, Youngcheol Chae A 6.9mW 120fps 28×50 capacitive touch sensor for 1mm-φ stylus using current-driven ΔΣ ADCs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Manish Pandey Machine learning and systems for building the next generation of EDA tools. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Xiaotao Jia, Jianlei Yang 0001, Zhaohao Wang, Yiran Chen 0001, Hai Helen Li, Weisheng Zhao Spintronics based stochastic computing for efficient Bayesian inference system. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Wooseok Lee, Reena Panda, Dam Sunwoo, José A. Joao, Andreas Gerstlauer, Lizy K. John BUQS: Battery- and user-aware QoS scaling for interactive mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Dustin Peterson, Yannick Boekle, Oliver Bringmann 0001 Detecting non-functional circuit activity in SoC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chiraag Juvekar, Anantha P. Chandrakasan, Joyce Kwong, Hyung-Min Lee A nonvolatile flip-flop-enabled cryptographic wireless authentication tag with per-query key update and power-glitch attack countermeasures. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Junghyup Lee, Arup K. George, Minkyu Je An ultra-low-noise differential relaxation oscillator based on a swing-boosting scheme. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Tao-Chun Yu, Shao-Yun Fang Flip-chip routing with IO planning considering practical pad assignment constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Chenguang Wang 0003, Yici Cai, Qiang Zhou 0001, Haoyi Wang ASAX: Automatic security assertion extraction for detecting Hardware Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Andreas Grimmer, Berislav Klepic, Tsung-Yi Ho, Robert Wille Sound valve-control for programmable microfluidic devices. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Siva Satyendra Sahoo, Tuan D. A. Nguyen, Bharadwaj Veeravalli, Akash Kumar 0001 Lifetime-aware design methodology for dynamic partially reconfigurable systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Anoop Koyily, Satya Venkata Sandeep Avvaru, Chen Zhou, Chris H. Kim, Keshab K. Parhi Effect of aging on linear and nonlinear MUX PUFs by statistical modeling. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Mohsen Imani, Max Masich, Daniel Peroni, Pushen Wang, Tajana Rosing CANNA: Neural network acceleration using configurable approximation on GPGPU. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Shumpei Morita, Song Bian 0001, Michihiro Shintani, Masayuki Hiromoto, Takashi Sato Efficient worst-case timing analysis of critical-path delay under workload-dependent aging degradation. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Kuan-Te Wu, Jin-Fu Li 0001, Chih-Yen Lo, Jenn-Shiang Lai, Ding-Ming Kwai, Yung-Fa Chou A channel-sharable built-in self-test scheme for multi-channel DRAMs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Giulia Meuli, Mathias Soeken, Martin Roetteler, Nathan Wiebe, Giovanni De Micheli A best-fit mapping algorithm to facilitate ESOP-decomposition in Clifford+T quantum network synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Eric Schneider, Michael A. Kochte, Hans-Joachim Wunderlich Multi-level timing simulation on GPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Junhua Shen, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Jaehwan Jung, In-Cheol Park, Youngjoo Lee A 2.4pJ/bit, 6.37Gb/s SPC-enhanced BC-BCH decoder in 65nm CMOS for NAND flash storage systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Injun Choi, Ji-Hoon Kim A 2.22 Gbps high-throughput NB-LDPC decoder in 65nm CMOS with aggressive overlap scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017 Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  BibTeX  RDF
25Soumya Banerjee 0004, Wenjing Rao A local reconfiguration based scalable fault tolerant many-processor array. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Minkyu Kim 0001, Abinash Mohanty, Deepak Kadetotad, Naveen Suda, Luning Wei, Pooja Saseendran, Xiaofei He 0001, Yu Cao 0001, Jae-sun Seo A real-time 17-scale object detection accelerator with adaptive 2000-stage classification in 65nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Juan Escobedo, Mingjie Lin Tessellating memory space for parallel access. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Shiqi Lian, Ying Wang 0001, Yinhe Han 0001, Xiaowei Li 0001 BoDNoC: Providing bandwidth-on-demand interconnection for multi-granularity memory systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Satyajit Das, Kevin J. M. Martin, Philippe Coussy, Davide Rossi, Luca Benini Efficient mapping of CDFG onto coarse-grained reconfigurable array architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ching-Wen Lin, Chung-Ho Chen Processor shield for L1 data cache software-based on-line self-testing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jiabei Ge, Changhao Yan, Hai Zhou 0001, Dian Zhou, Xuan Zeng 0001 An efficient algorithm for stencil planning and optimization in E-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Sebastian Huhn 0001, Stefan Frehse, Robert Wille, Rolf Drechsler Enhancing robustness of sequential circuits using application-specific knowledge and formal methods. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Michele Lora, Enrico Fraccaroli, Franco Fummi Virtual prototyping of smart systems through automatic abstraction and mixed-signal scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jai-Ming Lin, Bo-Heng Yu, Li-Yen Chang Regularity-aware routability-driven placement prototyping algorithm for hierarchical mixed-size circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Daniel P. Seemuth, Azadeh Davoodi, Katherine Morrow Flexible interconnect in 2.5D ICs to minimize the interposer's metal layers. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chung-Yao Hung, Peng-Yi Chou, Wai-Kei Mak Optimizing DSA-MP decomposition and redundant via insertion with dummy vias. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Farimah Farahmandi, Yuanwen Huang, Prabhat Mishra 0001 Trojan localization using symbolic algebra. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yujie Wang, Pu Chen, Jiang Hu, Jeyavijayan (JV) Rajendran Routing perturbation for enhanced security in split manufacturing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Masayuki Ikebe, Tetsuya Asai, Masafumi Mori, Toshiyuki Itou, Daisuke Uchida, Yasuhiro Take, Tadahiro Kuroda, Masato Motomura An image sensor/processor 3D stacked module featuring ThruChip interfaces. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Mingze Gao, Qian Wang 0022, Akshaya S. Kankanhalli-Nagendra, Gang Qu 0001 A novel data format for approximate arithmetic computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ye Zhang 0011, Wai-Shing Luk, Fan Yang 0001, Changhao Yan, Hai Zhou 0001, Dian Zhou, Xuan Zeng 0001 Network flow based cut redistribution and insertion for advanced 1D layout design. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Wei-Hsun Liao, Chang-Tzu Lin, Sheng-Hsin Fang, Chien-Chia Huang, Hung-Ming Chen, Ding-Ming Kwai, Yung-Fa Chou Heterogeneous chip power delivery modeling and co-synthesis for practical 3DIC realization. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25M. Hassan Najafi, David J. Lilja High-speed stochastic circuits using synchronous analog pulses. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yen-Ting Chen, Ming-Chang Yang, Yuan-Hao Chang 0001, Tseng-Yi Chen, Hsin-Wen Wei, Wei-Kuan Shih KVFTL: Optimization of storage space utilization for key-value-specific flash storage devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Oliver Keszöcze, Zipeng Li, Andreas Grimmer, Robert Wille, Krishnendu Chakrabarty, Rolf Drechsler Exact routing for micro-electrode-dot-array digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hiromitsu Awano, Masayuki Hiromoto, Takashi Sato Efficient circuit failure probability calculation along product lifetime considering device aging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kent Gauen, Rohit Rangan, Anup Mohan, Yung-Hsiang Lu, Wei Liu 0015, Alexander C. Berg Low-power image recognition challenge. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Winston Haaswijk, Mathias Soeken, Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli A novel basis for logic rewriting. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hiroki Asano, Tetsuya Hirose, Taro Miyoshi, Keishi Tsubaki, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa Sub-1-μs start-up time, 32-MHz relaxation oscillator for low-power intermittent VLSI systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yuki Watanabe, Hayato Narita, Hiroyuki Tsuchiya, Tatsuji Matsuura, Hao San, Masao Hotta A 14bit 80kSPS non-binary cyclic ADC without high accuracy analog components. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Dimitrios Tychalas, Nektarios Georgios Tsoutsos, Michail Maniatakos SGXCrypter: IP protection for portable executables using Intel's SGX technology. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chuhan Min, Jie Guo 0002, Hai Li 0001, Yiran Chen 0001 Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Meng Liu 0001, Matthias Becker 0004, Moris Behnam, Thomas Nolte Using segmentation to improve schedulability of RRA-based NoCs with mixed traffic. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Caiwen Ding, Ji Li 0006, Weiwei Zheng, Naehyuck Chang, Xue Lin, Yanzhi Wang Algorithm accelerations for luminescent solar concentrator-enhanced reconfigurable onboard photovoltaic system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Cunxi Yu, Maciej J. Ciesielski Efficient parallel verification of Galois field multipliers. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Szu-Yuan Han, Wen-Hao Liu, Rickard Ewetz, Cheng-Kok Koh, Kai-Yuan Chao, Ting-Chi Wang Delay-driven layer assignment for advanced technology nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ching-Wei Hsieh, Zipeng Li, Tsung-Yi Ho Piracy prevention of digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license