The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Zhongqi Cheng, Emad Malekzadeh Arasteh, Rainer Dömer Event Delivery using Prediction for Faster Parallel SystemC Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pengfei Qiu, Qian Wang 0022, Dongsheng Wang 0002, Yongqiang Lyu 0001, Zhaojun Lu, Gang Qu 0001 Mitigating Adversarial Attacks for Deep Neural Networks by Input Deformation and Augmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Elbruz Ozen, Alex Orailoglu Concurrent Monitoring of Operational Health in Neural Networks Through Balanced Output Partitions. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mengchu Li, Tsun-Ming Tseng, Mahdi Tala, Ulf Schlichtmann Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-Chips. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sanjay Moulik, Rishabh Chaudhary, Zinea Das, Arnab Sarkar EA-HRT: An Energy-Aware scheduler for Heterogeneous Real-Time systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ali Mirzaeian, Houman Homayoun, Avesta Sasan NESTA: Hamming Weight Compression-Based Neural Proc. EngineAli Mirzaeian. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Miao Hu Defects Mitigation in Resistive Crossbars for Analog Vector Matrix Multiplication. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ching-Hwa Cheng A Quantity Evaluation and Reconfiguration Mechanism for Signal- and Power-Interconnections in 3D-Stacking System. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lukas Burgholzer, Robert Wille Improved DD-based Equivalence Checking of Quantum Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alessandro Cornaglia, Md. Shakib Hasan, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel JIT-Based Context-Sensitive Timing Simulation for Efficient Platform Exploration. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Patrick Sittel, John Wickerson, Martin Kumm, Peter Zipf Modulo Scheduling with Rational Initiation Intervals in Custom Hardware Design. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shulin Zeng, Hanbo Sun, Yu Xing, Xuefei Ning, Yi Shan, Xiaoming Chen 0003, Yu Wang 0002, Huazhong Yang Black Box Search Space Profiling for Accelerator-Aware Neural Architecture Search. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeongwoo Heo, Taewhan Kim Lightening Asynchronous Pipeline Controller Through Resynthesis and Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chuliang Guo, Li Zhang 0021, Xian Zhou, Weikang Qian, Cheng Zhuo A Reconfigurable Approximate Multiplier for Quantized CNN Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maedeh Hemmat, Tejas Shah, Yuhua Chen, Joshua San Miguel CRANIA: Unlocking Data and Value Reuse in Iterative Neural Network Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaolong Ma, Zhe Li 0001, Hongjia Li, Qiyuan An, Qinru Qiu, Wenyao Xu, Yanzhi Wang Database and Benchmark for Early-stage Malicious Activity Detection in 3D Printing. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Farhana Sharmin Snigdha, Ibrahim Ahmed 0002, Susmita Dey Manasi, Meghna G. Mankalale, Jiang Hu, Sachin S. Sapatnekar SeFAct: selective feature activation and early classification for CNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fuxun Yu, Chenchen Liu, Xiang Chen 0010 REIN: a robust training method for enhancing generalization ability of neural networks in autonomous driving systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohamed Baker Alawieh, Xiyuan Tang, David Z. Pan S2-PM: semi-supervised learning for efficient performance modeling of analog and mixed signal circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Liangjian Lyu, Yu Wang 0046, Chixiao Chen, Chuanjin Richard Shi A low-voltage low-power multi-channel neural interface IC using level-shifted feedback technology. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Milan Copic, Rainer Leupers, Gerd Ascheid Efficient sporadic task handling in parallel AUTOSAR applications using runnable migration. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tao Liu 0023, Nuo Xu, Qi Liu 0017, Yanzhi Wang, Wujie Wen A system-level perspective to understand the vulnerability of deep learning systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alessandro Cornaglia, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel SIMULTime: Context-sensitive timing simulation on intermediate code representation for rapid platform explorations. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhufei Chu, Mathias Soeken, Yinshui Xia, Lun-Yao Wang, Giovanni De Micheli Structural rewriting in XOR-majority graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Johanna Baehr, Alessandro Bernardini, Georg Sigl, Ulf Schlichtmann Machine learning and structural characteristics for reverse engineering. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Baogang Zhang, Necati Uysal, Deliang Fan, Rickard Ewetz Handling stuck-at-faults in memristor crossbar arrays using matrix transformations. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mahdi Nazemi, Ghasem Pasandi, Massoud Pedram Energy-efficient, low-latency realization of neural networks through boolean logic minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tsai-Ling Tsai, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun Testing stuck-open faults of priority address encoder in content addressable memories. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyeon Uk Sim, Jongeun Lee Log-quantized stochastic computing for memory and computation efficient DNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sumon Kumar Bose, Bapi Kar, Mohendra Roy, Pradeep Kumar Gopalakrishnan, Arindam Basu ADEPOS: anomaly detection based power saving for predictive maintenance using edge computing. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tung-Che Liang, Mohammed Shayan, Krishnendu Chakrabarty, Ramesh Karri Execution of provably secure assays on MEDA biochips to thwart attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bosheng Liu, Xiaoming Chen 0003, Ying Wang 0001, Yinhe Han 0001, Jiajun Li, Haobo Xu, Xiaowei Li 0001 Addressing the issue of processing element under-utilization in general-purpose systolic deep learning accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lilas Alrahis, Muhammad Yasin, Hani H. Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu ScanSAT: unlocking obfuscated scan chains. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sheng-Hao Lin, Tsung-Yi Ho Autonomous vehicle routing in multiple intersections. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Petra R. Kleeberger, Juana Rivera, Daniel Mueller-Gritschneder, Ulf Schlichtmann SeRoHAL: generation of selectively robust hardware abstraction layers for efficient protection of mixed-criticality systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yun-Ting Wang, Kai-Chiang Wu, Chung-Han Chou, Shih-Chieh Chang Aging-aware chip health prediction adopting an innovative monitoring strategy. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aidyn Zhakatayev, Jongeun Lee Efficient FPGA implementation of local binary convolutional neural network. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alwin Zulehner, Kamalika Datta, Indranil Sengupta 0001, Robert Wille A staircase structure for scalable and efficient synthesis of memristor-aided logic. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Toshinari Itoko, Rudy Raymond, Takashi Imamichi, Atsushi Matsuo, Andrew W. Cross Quantum circuit compilers using gate commutation rules. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuanbin Zhou, Soheil Samii, Petru Eles, Zebo Peng Partitioned and overhead-aware scheduling of mixed-criticality real-time systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Piyush Pathak, Frank Gennari, Ya-Chieh Lai, Bei Yu 0001 Detecting multi-layer layout hotspots with adaptive squish patterns. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiao Pan, Carna Zivkovic, Christoph Grimm 0001 Virtual prototyping of heterogeneous automotive applications: matlab, SystemC, or both? Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bernhard Lippmann, Michael Werner, Niklas Unverricht, Aayush Singla, Peter Egger, Anja Dübotzky, Horst A. Gieser, Martin Rasche, Oliver Kellermann, Helmut Graeb Integrated flow for reverse engineering of nanoscale technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dustin Peterson, Oliver Bringmann 0001 Fully-automated synthesis of power management controllers from UPF. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xingxing Guo, Hai Wang 0002, Chi Zhang 0029, He Tang, Yuan Yuan 0030 Leakage-aware thermal management for multi-core systems using piecewise linear model based predictive control. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daniel Peroni, Mohsen Imani, Tajana Rosing ALook: adaptive lookup for GPGPU acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Marco Winzker, Andrea Schwandt FPGA laboratory system supporting power measurement for low-power digital design. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bentian Jiang, Hang Zhang 0010, Jinglei Yang, Evangeline F. Y. Young A fast machine learning-based mask printability predictor for OPC acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abraham Addisie, Valeria Bertacco Collaborative accelerators for in-memory MapReduce on scale-up machines. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fang Wang, Zhaoyan Shen, Lei Han, Zili Shao ReRAM-based processing-in-memory architecture for blockchain platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nobuaki Kobayashi, Tadayoshi Enomoto Low standby power CMOS delay flip-flop with data retention capability. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Houxiang Ji, Li Jiang 0002, Tianjian Li, Naifeng Jing, Jing Ke, Xiaoyao Liang HUBPA: high utilization bidirectional pipeline architecture for neuromorphic computing. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ying Chen, Yibo Lin, Tianyang Gai, Yajuan Su, Yayi Wei, David Z. Pan Semi-supervised hotspot detection with self-paced multi-task learning. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Charalampos Antoniadis, Nestor E. Evmorfopoulos, Georgios I. Stamoulis Efficient sparsification of dense circuit matrices in model order reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shounak Dhar, Love Singhal, Mahesh A. Iyer, David Z. Pan A shape-driven spreading algorithm using linear programming for global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hao Geng, Haoyu Yang, Yuzhe Ma, Joydeep Mitra, Bei Yu 0001 SRAF insertion via supervised dictionary learning. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maik Ender, Pawel Swierczynski, Sebastian Wallat, Matthias Wilhelm 0002, Paul Martin Knopp, Christof Paar Insights into the mind of a trojan designer: the challenge to integrate a trojan into the bitstream. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maya Matsunaga, Taiki Nakanishi, Atsuki Kobayashi, Kiichi Niitsu A three-dimensional millimeter-wave frequency-shift based CMOS biosensor using vertically stacked spiral inductors in LC oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chihiro Matsui, Ken Takeuchi Design of heterogeneously-integrated memory system with storage class memories and NAND flash memories. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiajun Li, Guihai Yan, Wenyan Lu, Shuhao Jiang, Shijun Gong, Jingya Wu, Junchao Yan, Xiaowei Li 0001 TNPU: an efficient accelerator architecture for training convolutional neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vito Giovanni Castellana, Marco Minutoli, Antonino Tumeo, Marco Lattuada 0001, Pietro Fezzardi, Fabrizio Ferrandi Software defined architectures for data analytics. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Davide Giri, Paolo Mantovani, Luca P. Carloni Runtime reconfigurable memory hierarchy in embedded scalable platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Moon Gi Seok, Hessam S. Sarjoughian, Daejin Park A high-level modeling and simulation approach using test-driven cellular automata for fast performance analysis of RTL NoC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kousuke Miyaji, Yuki Karasawa, Takanobu Fukuoka A wide conversion ratio, 92.8% efficiency, 3-level buck converter with adaptive on/off-time control and shared charge pump intermediate voltage regulator. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Samuel Hertz, Debjit Pal, Spencer Offenberger, Shobha Vasudevan A figure of merit for assertions in verification. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kenya Hayashi, Shigeki Arata, Ge Xu, Shunya Murakami, Cong Dang Bui, Takuyoshi Doike, Maya Matsunaga, Atsuki Kobayashi, Kiichi Niitsu Design of 385 x 385 μm2 0.165V 270pW fully-integrated supply-modulated OOK transmitter in 65nm CMOS for glasses-free, self-powered, and fuel-cell-embedded continuous glucose monitoring contact lens. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jianfei Wang, Li Jiang 0002, Jing Ke, Xiaoyao Liang, Naifeng Jing A sharing-aware L1.5D cache for data reuse in GPGPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sohini Saha, Debraj Kundu, Sudip Roy 0001, Sukanta Bhattacharjee, Krishnendu Chakrabarty, Partha Pratim Chakrabarti, Bhargab B. Bhattacharya Factorization based dilution of biochemical fluids with micro-electrode-dot-array biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zirui Xu, Fuxun Yu, Chenchen Liu, Xiang Chen 0010 HAMPER: high-performance adaptive mobile security enhancement against malicious speech and image recognition. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qin Li, Xiaofan Zhang 0001, Jinjun Xiong, Wen-Mei Hwu, Deming Chen Implementing neural machine translation with bi-directional GRU and attention mechanism on FPGAs using HLS. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori Reliable in-memory neuromorphic computing using spintronics. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lei Xie Mosaic: an automated synthesis flow for boolean logic based on memristor crossbar. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jilan Lin, Zhenhua Zhu, Yu Wang 0002, Yuan Xie 0001 Learning the sparsity for ReRAM: mapping and pruning sparse neural network for ReRAM based accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Travis Meade, Jason Portillo, Shaojie Zhang, Yier Jin NETA: when IP fails, secrets leak. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexander Fell, Thinh Hung Pham, Siew-Kei Lam TAD: time side-channel attack defense of obfuscated source code. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Robert Wille, Krishnendu Chakrabarty Robust sample preparation on digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xizi Chen, Jingyang Zhu, Jingbo Jiang, Chi-Ying Tsui CompRRAE: RRAM-based convolutional neural network accelerator with reduced computations through a runtime activation estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Carina Wiesen, Nils Albartus, Max Hoffmann 0001, Steffen Becker 0003, Sebastian Wallat, Marc Fyrbiak, Nikol Rummel, Christof Paar Towards cognitive obfuscation: impeding hardware reverse engineering based on psychological insights. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yen-Chun Liu, Tung-Chieh Chen, Yao-Wen Chang, Sy-Yen Kuo MDP-trees: multi-domain macro placement for ultra large-scale mixed-size designs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elham Cheshmikhani, Hamed Farbeh, Hossein Asadi 0001 ROBIN: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amin Rezaei 0001, You Li, Yuanqi Shen, Shuyu Kong, Hai Zhou 0001 CycSAT-unresolvable cyclic logic encryption using unreachable states. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Li-Jie Chen, Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo, Chi-Lai Huang Path controllability analysis for high quality designs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuyang Wang 0003, M. Ashkan Seyedi, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiency. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mengquan Li, Weichen Liu, Lei Yang 0018, Peng Chen 0027, Duo Liu, Nan Guan Routing in optical network-on-chip: minimizing contention with guaranteed thermal reliability. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Marcel Walter, Robert Wille, Frank Sill Torres, Daniel Große, Rolf Drechsler Scalable design for field-coupled nanocomputing circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Minxuan Zhou, Mohsen Imani, Saransh Gupta, Yeseong Kim, Tajana Rosing GRAM: graph processing in a ReRAM-based computational memory. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jingyu Wang, Zhe Yuan, Ruoyang Liu, Huazhong Yang, Yongpan Liu An N-way group association architecture and sparse data group association load balancing algorithm for sparse CNN accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ning Lin, Hang Lu, Xin Wei, Xiaowei Li 0001 Redeeming chip-level power efficiency by collaborative management of the computation and communication. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Necati Uysal, Wen-Hao Liu, Rickard Ewetz Latency constraint guided buffer sizing and layer assignment for clock trees with useful skew. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kiichi Niitsu, Taichi Sakabe, Mariko Miyachi, Yoshinori Yamanoi, Hiroshi Nishihara, Tatsuya Tomo, Kazuo Nakazato 2D optical imaging using photosystem I photosensor platform with 32x32 CMOS biosensor array. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hosein Mohammadi Makrani, Hossein Sayadi, Tinoosh Mohsenin, Setareh Rafatirad, Avesta Sasan, Houman Homayoun XPPE: cross-platform performance estimation of hardware accelerators using machine learning. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nobuaki Kobayashi, Tadayoshi Enomoto Development of a high stability, low standby power six-transistor CMOS SRAM employing a single power supply. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alwin Zulehner, Robert Wille Compiling SU(4) quantum circuits to IBM QX architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hanmin Park, Kiyoung Choi Cell division: weight bit-width reduction technique for convolutional neural network hardware accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Song Bian 0001, Masayuki Hiromoto, Takashi Sato Towards practical homomorphic email filtering: a hardware-accelerated secure naïve bayesian filter. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohammad Tahghighi, Wei Zhang 0012 Accelerate pattern recognition for cyber security analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Setareh Behroozi, Jingjie Li, Jackson Melchert, Younghyun Kim 0001 SAADI: a scalable accuracy approximate divider for dynamic energy-quality scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei Ye 0008, Mohamed Baker Alawieh, Yibo Lin, David Z. Pan Tackling signal electromigration with learning-based detection and multistage mitigation. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hsin-Pai Cheng, Juncheng Shen, Huanrui Yang, Qing Wu 0002, Hai Li 0001, Yiran Chen 0001 AdverQuil: an efficient adversarial detection and alleviation technique for black-box neuromorphic computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license