|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 343 occurrences of 283 keywords
|
|
|
Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Zhongqi Cheng, Emad Malekzadeh Arasteh, Rainer Dömer |
Event Delivery using Prediction for Faster Parallel SystemC Simulation. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Pengfei Qiu, Qian Wang 0022, Dongsheng Wang 0002, Yongqiang Lyu 0001, Zhaojun Lu, Gang Qu 0001 |
Mitigating Adversarial Attacks for Deep Neural Networks by Input Deformation and Augmentation. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Elbruz Ozen, Alex Orailoglu |
Concurrent Monitoring of Operational Health in Neural Networks Through Balanced Output Partitions. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Mengchu Li, Tsun-Ming Tseng, Mahdi Tala, Ulf Schlichtmann |
Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-Chips. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Sanjay Moulik, Rishabh Chaudhary, Zinea Das, Arnab Sarkar |
EA-HRT: An Energy-Aware scheduler for Heterogeneous Real-Time systems. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Ali Mirzaeian, Houman Homayoun, Avesta Sasan |
NESTA: Hamming Weight Compression-Based Neural Proc. EngineAli Mirzaeian. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Fan Zhang 0069, Miao Hu |
Defects Mitigation in Resistive Crossbars for Analog Vector Matrix Multiplication. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Ching-Hwa Cheng |
A Quantity Evaluation and Reconfiguration Mechanism for Signal- and Power-Interconnections in 3D-Stacking System. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Lukas Burgholzer, Robert Wille |
Improved DD-based Equivalence Checking of Quantum Circuits. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Alessandro Cornaglia, Md. Shakib Hasan, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel |
JIT-Based Context-Sensitive Timing Simulation for Efficient Platform Exploration. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Patrick Sittel, John Wickerson, Martin Kumm, Peter Zipf |
Modulo Scheduling with Rational Initiation Intervals in Custom Hardware Design. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Shulin Zeng, Hanbo Sun, Yu Xing, Xuefei Ning, Yi Shan, Xiaoming Chen 0003, Yu Wang 0002, Huazhong Yang |
Black Box Search Space Profiling for Accelerator-Aware Neural Architecture Search. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Jeongwoo Heo, Taewhan Kim |
Lightening Asynchronous Pipeline Controller Through Resynthesis and Optimization. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Chuliang Guo, Li Zhang 0021, Xian Zhou, Weikang Qian, Cheng Zhuo |
A Reconfigurable Approximate Multiplier for Quantized CNN Applications. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Maedeh Hemmat, Tejas Shah, Yuhua Chen, Joshua San Miguel |
CRANIA: Unlocking Data and Value Reuse in Iterative Neural Network Architectures. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Xiaolong Ma, Zhe Li 0001, Hongjia Li, Qiyuan An, Qinru Qiu, Wenyao Xu, Yanzhi Wang |
Database and Benchmark for Early-stage Malicious Activity Detection in 3D Printing. |
ASP-DAC |
2020 |
DBLP DOI BibTeX RDF |
|
1 | Farhana Sharmin Snigdha, Ibrahim Ahmed 0002, Susmita Dey Manasi, Meghna G. Mankalale, Jiang Hu, Sachin S. Sapatnekar |
SeFAct: selective feature activation and early classification for CNNs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Fuxun Yu, Chenchen Liu, Xiang Chen 0010 |
REIN: a robust training method for enhancing generalization ability of neural networks in autonomous driving systems. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Mohamed Baker Alawieh, Xiyuan Tang, David Z. Pan |
S2-PM: semi-supervised learning for efficient performance modeling of analog and mixed signal circuits. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Liangjian Lyu, Yu Wang 0046, Chixiao Chen, Chuanjin Richard Shi |
A low-voltage low-power multi-channel neural interface IC using level-shifted feedback technology. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram |
Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Milan Copic, Rainer Leupers, Gerd Ascheid |
Efficient sporadic task handling in parallel AUTOSAR applications using runnable migration. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Tao Liu 0023, Nuo Xu, Qi Liu 0017, Yanzhi Wang, Wujie Wen |
A system-level perspective to understand the vulnerability of deep learning systems. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Alessandro Cornaglia, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel |
SIMULTime: Context-sensitive timing simulation on intermediate code representation for rapid platform explorations. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Zhufei Chu, Mathias Soeken, Yinshui Xia, Lun-Yao Wang, Giovanni De Micheli |
Structural rewriting in XOR-majority graphs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Johanna Baehr, Alessandro Bernardini, Georg Sigl, Ulf Schlichtmann |
Machine learning and structural characteristics for reverse engineering. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Baogang Zhang, Necati Uysal, Deliang Fan, Rickard Ewetz |
Handling stuck-at-faults in memristor crossbar arrays using matrix transformations. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Mahdi Nazemi, Ghasem Pasandi, Massoud Pedram |
Energy-efficient, low-latency realization of neural networks through boolean logic minimization. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Tsai-Ling Tsai, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun |
Testing stuck-open faults of priority address encoder in content addressable memories. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hyeon Uk Sim, Jongeun Lee |
Log-quantized stochastic computing for memory and computation efficient DNNs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Sumon Kumar Bose, Bapi Kar, Mohendra Roy, Pradeep Kumar Gopalakrishnan, Arindam Basu |
ADEPOS: anomaly detection based power saving for predictive maintenance using edge computing. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Tung-Che Liang, Mohammed Shayan, Krishnendu Chakrabarty, Ramesh Karri |
Execution of provably secure assays on MEDA biochips to thwart attacks. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Bosheng Liu, Xiaoming Chen 0003, Ying Wang 0001, Yinhe Han 0001, Jiajun Li, Haobo Xu, Xiaowei Li 0001 |
Addressing the issue of processing element under-utilization in general-purpose systolic deep learning accelerators. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Lilas Alrahis, Muhammad Yasin, Hani H. Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu |
ScanSAT: unlocking obfuscated scan chains. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Sheng-Hao Lin, Tsung-Yi Ho |
Autonomous vehicle routing in multiple intersections. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Petra R. Kleeberger, Juana Rivera, Daniel Mueller-Gritschneder, Ulf Schlichtmann |
SeRoHAL: generation of selectively robust hardware abstraction layers for efficient protection of mixed-criticality systems. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Yun-Ting Wang, Kai-Chiang Wu, Chung-Han Chou, Shih-Chieh Chang |
Aging-aware chip health prediction adopting an innovative monitoring strategy. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Aidyn Zhakatayev, Jongeun Lee |
Efficient FPGA implementation of local binary convolutional neural network. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Alwin Zulehner, Kamalika Datta, Indranil Sengupta 0001, Robert Wille |
A staircase structure for scalable and efficient synthesis of memristor-aided logic. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Toshinari Itoko, Rudy Raymond, Takashi Imamichi, Atsushi Matsuo, Andrew W. Cross |
Quantum circuit compilers using gate commutation rules. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Yuanbin Zhou, Soheil Samii, Petru Eles, Zebo Peng |
Partitioned and overhead-aware scheduling of mixed-criticality real-time systems. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Haoyu Yang, Piyush Pathak, Frank Gennari, Ya-Chieh Lai, Bei Yu 0001 |
Detecting multi-layer layout hotspots with adaptive squish patterns. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Xiao Pan, Carna Zivkovic, Christoph Grimm 0001 |
Virtual prototyping of heterogeneous automotive applications: matlab, SystemC, or both? |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Bernhard Lippmann, Michael Werner, Niklas Unverricht, Aayush Singla, Peter Egger, Anja Dübotzky, Horst A. Gieser, Martin Rasche, Oliver Kellermann, Helmut Graeb |
Integrated flow for reverse engineering of nanoscale technologies. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Dustin Peterson, Oliver Bringmann 0001 |
Fully-automated synthesis of power management controllers from UPF. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Xingxing Guo, Hai Wang 0002, Chi Zhang 0029, He Tang, Yuan Yuan 0030 |
Leakage-aware thermal management for multi-core systems using piecewise linear model based predictive control. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Daniel Peroni, Mohsen Imani, Tajana Rosing |
ALook: adaptive lookup for GPGPU acceleration. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Marco Winzker, Andrea Schwandt |
FPGA laboratory system supporting power measurement for low-power digital design. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Bentian Jiang, Hang Zhang 0010, Jinglei Yang, Evangeline F. Y. Young |
A fast machine learning-based mask printability predictor for OPC acceleration. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Abraham Addisie, Valeria Bertacco |
Collaborative accelerators for in-memory MapReduce on scale-up machines. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Fang Wang, Zhaoyan Shen, Lei Han, Zili Shao |
ReRAM-based processing-in-memory architecture for blockchain platforms. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Nobuaki Kobayashi, Tadayoshi Enomoto |
Low standby power CMOS delay flip-flop with data retention capability. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Houxiang Ji, Li Jiang 0002, Tianjian Li, Naifeng Jing, Jing Ke, Xiaoyao Liang |
HUBPA: high utilization bidirectional pipeline architecture for neuromorphic computing. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Ying Chen, Yibo Lin, Tianyang Gai, Yajuan Su, Yayi Wei, David Z. Pan |
Semi-supervised hotspot detection with self-paced multi-task learning. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Charalampos Antoniadis, Nestor E. Evmorfopoulos, Georgios I. Stamoulis |
Efficient sparsification of dense circuit matrices in model order reduction. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Shounak Dhar, Love Singhal, Mahesh A. Iyer, David Z. Pan |
A shape-driven spreading algorithm using linear programming for global placement. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hao Geng, Haoyu Yang, Yuzhe Ma, Joydeep Mitra, Bei Yu 0001 |
SRAF insertion via supervised dictionary learning. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Maik Ender, Pawel Swierczynski, Sebastian Wallat, Matthias Wilhelm 0002, Paul Martin Knopp, Christof Paar |
Insights into the mind of a trojan designer: the challenge to integrate a trojan into the bitstream. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Maya Matsunaga, Taiki Nakanishi, Atsuki Kobayashi, Kiichi Niitsu |
A three-dimensional millimeter-wave frequency-shift based CMOS biosensor using vertically stacked spiral inductors in LC oscillators. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Chihiro Matsui, Ken Takeuchi |
Design of heterogeneously-integrated memory system with storage class memories and NAND flash memories. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jiajun Li, Guihai Yan, Wenyan Lu, Shuhao Jiang, Shijun Gong, Jingya Wu, Junchao Yan, Xiaowei Li 0001 |
TNPU: an efficient accelerator architecture for training convolutional neural networks. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Vito Giovanni Castellana, Marco Minutoli, Antonino Tumeo, Marco Lattuada 0001, Pietro Fezzardi, Fabrizio Ferrandi |
Software defined architectures for data analytics. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Davide Giri, Paolo Mantovani, Luca P. Carloni |
Runtime reconfigurable memory hierarchy in embedded scalable platforms. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Moon Gi Seok, Hessam S. Sarjoughian, Daejin Park |
A high-level modeling and simulation approach using test-driven cellular automata for fast performance analysis of RTL NoC designs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Kousuke Miyaji, Yuki Karasawa, Takanobu Fukuoka |
A wide conversion ratio, 92.8% efficiency, 3-level buck converter with adaptive on/off-time control and shared charge pump intermediate voltage regulator. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Samuel Hertz, Debjit Pal, Spencer Offenberger, Shobha Vasudevan |
A figure of merit for assertions in verification. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Kenya Hayashi, Shigeki Arata, Ge Xu, Shunya Murakami, Cong Dang Bui, Takuyoshi Doike, Maya Matsunaga, Atsuki Kobayashi, Kiichi Niitsu |
Design of 385 x 385 μm2 0.165V 270pW fully-integrated supply-modulated OOK transmitter in 65nm CMOS for glasses-free, self-powered, and fuel-cell-embedded continuous glucose monitoring contact lens. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jianfei Wang, Li Jiang 0002, Jing Ke, Xiaoyao Liang, Naifeng Jing |
A sharing-aware L1.5D cache for data reuse in GPGPUs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Sohini Saha, Debraj Kundu, Sudip Roy 0001, Sukanta Bhattacharjee, Krishnendu Chakrabarty, Partha Pratim Chakrabarti, Bhargab B. Bhattacharya |
Factorization based dilution of biochemical fluids with micro-electrode-dot-array biochips. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Zirui Xu, Fuxun Yu, Chenchen Liu, Xiang Chen 0010 |
HAMPER: high-performance adaptive mobile security enhancement against malicious speech and image recognition. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Qin Li, Xiaofan Zhang 0001, Jinjun Xiong, Wen-Mei Hwu, Deming Chen |
Implementing neural machine translation with bi-directional GRU and attention mechanism on FPGAs using HLS. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Christopher Münch, Rajendra Bishnoi, Mehdi Baradaran Tahoori |
Reliable in-memory neuromorphic computing using spintronics. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Lei Xie |
Mosaic: an automated synthesis flow for boolean logic based on memristor crossbar. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jilan Lin, Zhenhua Zhu, Yu Wang 0002, Yuan Xie 0001 |
Learning the sparsity for ReRAM: mapping and pruning sparse neural network for ReRAM based accelerator. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Travis Meade, Jason Portillo, Shaojie Zhang, Yier Jin |
NETA: when IP fails, secrets leak. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Alexander Fell, Thinh Hung Pham, Siew-Kei Lam |
TAD: time side-channel attack defense of obfuscated source code. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Zhanwei Zhong, Robert Wille, Krishnendu Chakrabarty |
Robust sample preparation on digital microfluidic biochips. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Xizi Chen, Jingyang Zhu, Jingbo Jiang, Chi-Ying Tsui |
CompRRAE: RRAM-based convolutional neural network accelerator with reduced computations through a runtime activation estimation. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Carina Wiesen, Nils Albartus, Max Hoffmann 0001, Steffen Becker 0003, Sebastian Wallat, Marc Fyrbiak, Nikol Rummel, Christof Paar |
Towards cognitive obfuscation: impeding hardware reverse engineering based on psychological insights. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Yen-Chun Liu, Tung-Chieh Chen, Yao-Wen Chang, Sy-Yen Kuo |
MDP-trees: multi-domain macro placement for ultra large-scale mixed-size designs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Elham Cheshmikhani, Hamed Farbeh, Hossein Asadi 0001 |
ROBIN: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Amin Rezaei 0001, You Li, Yuanqi Shen, Shuyu Kong, Hai Zhou 0001 |
CycSAT-unresolvable cyclic logic encryption using unreachable states. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Li-Jie Chen, Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo, Chi-Lai Huang |
Path controllability analysis for high quality designs. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Yuyang Wang 0003, M. Ashkan Seyedi, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng |
Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiency. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Mengquan Li, Weichen Liu, Lei Yang 0018, Peng Chen 0027, Duo Liu, Nan Guan |
Routing in optical network-on-chip: minimizing contention with guaranteed thermal reliability. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Marcel Walter, Robert Wille, Frank Sill Torres, Daniel Große, Rolf Drechsler |
Scalable design for field-coupled nanocomputing circuits. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Minxuan Zhou, Mohsen Imani, Saransh Gupta, Yeseong Kim, Tajana Rosing |
GRAM: graph processing in a ReRAM-based computational memory. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Jingyu Wang, Zhe Yuan, Ruoyang Liu, Huazhong Yang, Yongpan Liu |
An N-way group association architecture and sparse data group association load balancing algorithm for sparse CNN accelerators. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Ning Lin, Hang Lu, Xin Wei, Xiaowei Li 0001 |
Redeeming chip-level power efficiency by collaborative management of the computation and communication. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Necati Uysal, Wen-Hao Liu, Rickard Ewetz |
Latency constraint guided buffer sizing and layer assignment for clock trees with useful skew. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Kiichi Niitsu, Taichi Sakabe, Mariko Miyachi, Yoshinori Yamanoi, Hiroshi Nishihara, Tatsuya Tomo, Kazuo Nakazato |
2D optical imaging using photosystem I photosensor platform with 32x32 CMOS biosensor array. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hosein Mohammadi Makrani, Hossein Sayadi, Tinoosh Mohsenin, Setareh Rafatirad, Avesta Sasan, Houman Homayoun |
XPPE: cross-platform performance estimation of hardware accelerators using machine learning. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Nobuaki Kobayashi, Tadayoshi Enomoto |
Development of a high stability, low standby power six-transistor CMOS SRAM employing a single power supply. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Alwin Zulehner, Robert Wille |
Compiling SU(4) quantum circuits to IBM QX architectures. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hanmin Park, Kiyoung Choi |
Cell division: weight bit-width reduction technique for convolutional neural network hardware accelerators. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Song Bian 0001, Masayuki Hiromoto, Takashi Sato |
Towards practical homomorphic email filtering: a hardware-accelerated secure naïve bayesian filter. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Tahghighi, Wei Zhang 0012 |
Accelerate pattern recognition for cyber security analysis. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Setareh Behroozi, Jingjie Li, Jackson Melchert, Younghyun Kim 0001 |
SAADI: a scalable accuracy approximate divider for dynamic energy-quality scaling. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Wei Ye 0008, Mohamed Baker Alawieh, Yibo Lin, David Z. Pan |
Tackling signal electromigration with learning-based detection and multistage mitigation. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
1 | Hsin-Pai Cheng, Juncheng Shen, Huanrui Yang, Qing Wu 0002, Hai Li 0001, Yiran Chen 0001 |
AdverQuil: an efficient adversarial detection and alleviation technique for black-box neuromorphic computing systems. |
ASP-DAC |
2019 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 3979 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|