The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Xiangzhong Luo, Di Liu 0002, Hao Kong, Shuo Huai, Hui Chen 0016, Weichen Liu You only search once: on lightweight differentiable architecture search for resource-constrained embedded platforms. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qiaochu Zhang, Shiyu Su, Mike Shuo-Wei Chen A cost-efficient fully synthesizable stochastic time-to-digital converter design based on integral nonlinearity scrambling. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dominik Sisejkovic, Luca Collini, Benjamin Tan 0001, Christian Pilato, Ramesh Karri, Rainer Leupers Designing ML-resilient locking at register-transfer level. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andreas Olofsson, William Ransohoff, Noah Moroze A distributed approach to silicon compilation: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amrit Nagarajan, Jacob R. Stevens, Anand Raghunathan Efficient ensembles of graph neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yijie Wei, Zhiwei Zhong, Jie Gu 0001 Human emotion based real-time memory and computation management on resource-limited edge devices. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicholas Wendt, Todd M. Austin, Valeria Bertacco PriMax: maximizing DSL application performance with selective primitive acceleration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Deokki Hong, Kanghyun Choi, Hyeyoon Lee, Joonsang Yu, Noseong Park, Youngsok Kim, Jinho Lee Enabling hard constraints in differentiable neural network and accelerator co-exploration. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Zongyi Li, Kumara Sastry, Saumyadip Mukhopadhyay, Mark Kilgard, Anima Anandkumar, Brucek Khailany, Vivek Singh, Haoxing Ren Generic lithography modeling with dual-band optics-inspired neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Benoît W. Denkinger, Miguel Peón Quirós, Mario Konijnenburg, David Atienza, Francky Catthoor VWR2A: a very-wide-register reconfigurable-array architecture for low-power embedded devices. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rob Oshana (eds.) DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022 Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dhananjaya Wijerathne, Zhaoying Li, Thilini Kaushalya Bandara, Tulika Mitra PANORAMA: divide-and-conquer approach for mapping complex loop kernels on CGRA. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ran Bi 0001, Xinbin Liu, Jiankang Ren, Pengfei Wang, Huawei Lv, Guozhen Tan Efficient maximum data age analysis for cause-effect chains in automotive systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aritra Bhattacharyay, Prabuddha Chakraborty, Jonathan Cruz 0001, Swarup Bhunia VIPR-PCB: a machine learning based golden-free PCB assurance framework. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0010, Zhiyong Wang, Haoting Shen, Bolin Yang, Qianmei Wu, Kui Ren 0001 DARPT: defense against remote physical attack based on TDC in multi-tenant scenario. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jun Xia, Ming Hu 0003, Xin Chen, Mingsong Chen Accelerated synthesis of neural network-based barrier certificates using collaborative learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mikail Yayla, Jian-Jia Chen Memory-efficient training of binarized neural networks on the edge. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tuo Li 0001, Sri Parameswaran FaSe: fast selective flushing to mitigate contention-based cache timing attacks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Ce Guo, Wayne Luk Optimizing quantum circuit placement via machine learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jinyan Xu, Haoran Lin, Ziqi Yuan, Wenbo Shen, Yajin Zhou, Rui Chang, Lei Wu 0012, Kui Ren 0001 RegVault: hardware assisted selective data randomization for operating system kernels. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhe Jiang 0004, Kecheng Yang 0001, Neil C. Audsley, Nathan Fisher, Weisong Shi, Zheng Dong 0002 BlueScale: a scalable memory architecture for predictable real-time computing on highly integrated SoCs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mingjun Li, Jianlei Yang 0001, Yingjie Qi, Meng Dong, Yuhao Yang, Runze Liu, Weitao Pan, Bei Yu 0001, Weisheng Zhao Eventor: an efficient event-based monocular multi-view stereo accelerator on FPGA platform. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hoon Shin, Rihae Park, Seung Yul Lee, Yeonhong Park, Hyunseung Lee 0001, Jae W. Lee Effective zero compression on ReRAM-based sparse DNN accelerators. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ji Zhang, Xijun Li, Xiyao Zhou, Mingxuan Yuan, Zhuo Cheng, Keji Huang, Yifan Li L-QoCo: learning to optimize cache capacity overloading in storage systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chun-Feng Wu, Carole-Jean Wu, Gu-Yeon Wei, David Brooks 0001 A joint management middleware to improve training performance of deep recommendation systems with SSDs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhijie Yang, Lei Wang, Yao Wang, LingHui Peng, Xiaofan Chen, Xun Xiao, Yaohua Wang, Weixia Xu Unicorn: a multicore neuromorphic processor with flexible fan-in and unconstrained fan-out for neurons. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sarada Krithivasan, Sanchari Sen, Nitin Rathi, Kaushik Roy 0001, Anand Raghunathan Efficiency attacks on spiking neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Li Yang 0009, Jian Meng, Jae-sun Seo, Yu Kevin Cao, Deliang Fan XMA: a crossbar-aware multi-task adaption framework via shift-based mask learning method. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mihaela Damian, Julian Oppermann, Christoph Spang 0001, Andreas Koch 0001 SCAIE-V: an open-source SCAlable interface for ISA extensions for RISC-V processors. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Walter Lau Neto, Luca G. Amarù, Vinicius Possani, Patrick Vuillod, Jiong Luo, Alan Mishchenko, Pierre-Emmanuel Gaillardon Improving LUT-based optimization for ASICs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongwu Peng, Shaoyi Huang, Shiyang Chen, Bingbing Li, Tong Geng, Ang Li 0006, Weiwen Jiang, Wujie Wen, Jinbo Bi, Hang Liu 0001, Caiwen Ding A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiaqi Li 0006, Min Peng 0002, Qingan Li, Meizheng Peng, Mengting Yuan GLite: a fast and efficient automatic graph-level optimizer for large-scale DNNs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yunsheng Bai, Atefeh Sohrabizadeh, Yizhou Sun, Jason Cong Improving GNN-based accelerator design automation with meta learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Feng Ren, Kang Chen, Yongwei Wu libcrpm: improving the checkpoint performance of NVM. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mengshu Sun, Zhengang Li, Alec Lu, Haoyu Ma, Geng Yuan, Yanyue Xie, Hao Tang 0005, Yanyu Li, Miriam Leeser, Zhangyang Wang, Xue Lin, Zhenman Fang FPGA-aware automatic acceleration framework for vision transformer with mixed-scheme quantization: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shijin Duan, Yejia Liu, Shaolei Ren, Xiaolin Xu LeHDC: learning-based hyperdimensional computing classifier. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 Frontmatter. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  BibTeX  RDF
1Nicolas Bohm Agostini, Serena Curzel, Ankur Limaye, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, Antonino Tumeo, Fabrizio Ferrandi The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maimaiti Nazhamaiti, Haijin Su, Han Xu 0006, Zheyu Liu, Fei Qiao, Qi Wei 0001, Zidong Du, Xinghua Yang, Li Luo In-situ self-powered intelligent vision system with inference-adaptive energy scheduling for BNN-based always-on perception. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li 0005, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen 0001 Towards collaborative intelligence: routability estimation based on decentralized private data. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bowen Wang, Guibao Shen, Dong Li 0016, Jianye Hao, Wulong Liu, Yu Huang, Hongzhong Wu, Yibo Lin, Guangyong Chen, Pheng-Ann Heng LHNN: lattice hypergraph neural network for VLSI congestion prediction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lin Zhang, Zifan Wang, Mengyu Liu, Fanxin Kong Adaptive window-based sensor attack detection for cyber-physical systems. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zihan Wang, Chengcheng Wan, Yuting Chen, Ziyi Lin, He Jiang 0001, Lei Qiao Hierarchical memory-constrained operator scheduling of neural architecture search networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gregor Haas, Aydin Aysu Apple vs. EMA: electromagnetic side channel attacks on apple CoreCrypto. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yongho Lee, Osang Kwon, Seokin Hong Don't open row: rethinking row buffer policy for improving performance of non-volatile memories. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Huimin Wang, Xingyu Tong, Chenyue Ma, Runming Shi, Jianli Chen, Kun Wang 0005, Jun Yu 0010, Yao-Wen Chang CNN-inspired analytical global placement for large-scale heterogeneous FPGAs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qichao Tao, Jie Han 0001 Solving traveling salesman problems via a parallel fully connected ising machine. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hojun Choi, Youngmoon Lee Thermal-aware drone battery management: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Behnam Khaleghi, Jaeyoung Kang 0001, Hanyang Xu, Justin Morris, Tajana Rosing GENERIC: highly efficient learning engine on edge using hyperdimensional computing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joonsang Yu, Junki Park, Seongmin Park, Minsoo Kim, Sihwa Lee, Dong Hyun Lee, Jungwook Choi NN-LUT: neural approximation of non-linear operations for efficient transformer inference. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Haoran Dang, Chongnan Ye, Yanpeng Hu, Chundong Wang 0001 NobLSM: an LSM-tree with non-blocking writes for SSDs. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Feijie Wu, Shiqi He, Song Guo 0001, Zhihao Qu, Haozhao Wang, Weihua Zhuang, Jie Zhang 0076 Sign bit is enough: a learning synchronization framework for multi-hop all-reduce with ultimate compression. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhao Gu, Rodolfo Pellizzoni Optimizing parallel PREM compilation over nested loop structures. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sung-En Chang, Geng Yuan, Alec Lu, Mengshu Sun, Yanyu Li, Xiaolong Ma, Zhengang Li, Yanyue Xie, Minghai Qin, Xue Lin, Zhenman Fang, Yanzhi Wang Hardware-efficient stochastic rounding unit design for DNN training: late breaking results. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Linus Witschen, Tobias Wiersema, Lucas Reuter, Marco Platzner Search space characterization for approximate logic synthesis. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Liancheng Jia, Yuyue Wang 0001, Jingwen Leng, Yun Liang 0001 EMS: efficient memory subsystem synthesis for spatial accelerators. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nan Wu, Hang Yang, Yuan Xie 0001, Pan Li 0005, Cong Hao High-level synthesis performance prediction using GNNs: benchmarking, modeling, and advancing. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anirban Chakraborty 0003, Nikhilesh Singh, Sarani Bhattacharya, Chester Rebeiro, Debdeep Mukhopadhyay Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arnav Vaibhav Malawade, Trier Mortlock, Mohammad Abdullah Al Faruque EcoFusion: energy-aware adaptive sensor fusion for efficient autonomous vehicle perception. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Siddhartha Nath, Geraldo Pradipta, Corey Hu, Tian Yang, Brucek Khailany, Haoxing Ren Generative self-supervised learning for gate sizing: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yonggan Fu, Qixuan Yu, Meng Li 0004, Xu Ouyang, Vikas Chandra, Yingyan Lin Contrastive quant: quantization makes stronger contrastive learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zuodong Zhang, Zizheng Guo, Yibo Lin, Runsheng Wang, Ru Huang AVATAR: an aging- and variation-aware dynamic timing analyzer for application-based DVAFS. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gaurav Kolhe, Tyler David Sheaves, Kevin Immanuel Gubbi, Soheil Salehi, Setareh Rafatirad, Sai Manoj P. D., Avesta Sasan, Houman Homayoun LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shiju Lin, Jinwei Liu, Tianji Liu, Martin D. F. Wong, Evangeline F. Y. Young NovelRewrite: node-level parallel AIG rewriting. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Soobee Lee, Minindu Weerakoon, Jonghyun Choi, Minjia Zhang, Di Wang 0003, Myeongjae Jeon CarM: hierarchical episodic memory for continual learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Diansen Sun, Yunpeng Chai, Chaoyang Liu, Weihao Sun, Qingpeng Zhang R2B: high-efficiency and fair I/O scheduling for multi-tenant with differentiated demands. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hanrui Wang 0002, Jiaqi Gu, Yongshan Ding 0001, Zirui Li, Frederic T. Chong, David Z. Pan, Song Han 0003 QuantumNAT: quantum noise-aware training with noise injection, quantization and normalization. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou 0001, Jia Wang 0003, Fan Yang 0001, Xuan Zeng 0001, Li Shang Floorplanning with graph attention. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alex Carsello, James Thomas 0003, Ankita Nayak, Po-Han Chen, Mark Horowitz, Priyanka Raina, Christopher Torng mflowgen: a modular flow generator and ecosystem for community-driven physical design: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kevin Kai-Chun Chang, Chun-Yao Chiang, Pei-Yu Lee, Iris Hui-Ru Jiang Timing macro modeling with graph neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rajarshi Roy 0003, Jonathan Raiman, Neel Kant, Ilyas Elkin, Robert Kirby 0001, Michael Y. Siu, Stuart F. Oberman, Saad Godil, Bryan Catanzaro PrefixRL: Optimization of Parallel Prefix Circuits using Deep Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prathyush Poduval, Zhuowen Zou, Xunzhao Yin, Elaheh Sadredini, Mohsen Imani Cognitive Correlative Encoding for Genome Sequence Matching in Hyperdimensional System. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Shaahin Angizi, Naima Ahmed Fahmi, Wei Zhang 0076, Deliang Fan PIM-Quantifier: A Processing-in-Memory Platform for mRNA Quantification. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Md Sami Ul Islam Sami, Fahim Rahman, Farimah Farahmandi, Adam Cron, Mike Borza, Mark M. Tehranipoor Invited: End-to-End Secure SoC Lifecycle Management. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pengfei Zuo, Yu Hua 0001, Ling Liang, Xinfeng Xie, Xing Hu 0001, Yuan Xie 0001 SEALing Neural Network Models in Encrypted Deep Learning Accelerators. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Liancheng Jia, Zizhang Luo, Liqiang Lu, Yun Liang 0001 TensorLib: A Spatial Accelerator Generation Framework for Tensor Algebra. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Emre Karabulut, Aydin Aysu FALCON Down: Breaking FALCON Post-Quantum Signature Scheme through Side-Channel Attacks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bingshu Wang, Lanfan Jiang, Wenxing Zhu, Longkun Guo, Jianli Chen, Yao-Wen Chang Two-Stage Neural Network Classifier for the Data Imbalance Problem with Application to Hotspot Detection. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chengsi Gao, Ying Wang 0001, Weiwei Chen, Lei Zhang 0008 An Intelligent Video Processing Architecture for Edge-cloud Video Streaming. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Luca Gaetano Amarù, Vinicius N. Possani, Eleonora Testa, Felipe S. Marranghello, Christopher Casares, Jiong Luo, Patrick Vuillod, Alan Mishchenko, Giovanni De Micheli LUT-Based Optimization For ASIC Design Flow. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Naimul Hassan, Alexander J. Edwards, Dhritiman Bhattacharya, Mustafa M. Shihab, Varun Venkat, Peng Zhou, Xuan Hu, Shamik Kundu, Abraham Peedikayil Kuruvila, Kanad Basu, Jayasimha Atulasimha, Yiorgos Makris, Joseph S. Friedman Secure Logic Locking with Strain-Protected Nanomagnet Logic. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuan Li 0029, Ahmed Louri, Avinash Karanth Scaling Deep-Learning Inference with Chiplet-based Architecture and Photonic Interconnects. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alessandro Pinto Requirement Specification, Analysis and Verification for Autonomous Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuan Zhou, Hanyu Wang 0005, Jieming Yin, Zhiru Zhang Distilling Arbitration Logic from Traces using Machine Learning: A Case Study on NoC. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jiafeng Xie, Pengzhou He, Wujie Wen Efficient Implementation of Finite Field Arithmetic for Binary Ring-LWE Post-Quantum Cryptography Through a Novel Lookup-Table-Like Method. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay Towards Improving the Trustworthiness of Hardware based Malware Detector using Online Uncertainty Estimation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yonggan Fu, Zhongzhi Yu, Yongan Zhang, Yifan Jiang 0001, Chaojian Li, Yongyuan Liang, Mingchao Jiang, Zhangyang Wang, Yingyan Lin InstantNet: Automated Generation and Deployment of Instantaneously Switchable-Precision Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prathyush Poduval, Zhuowen Zou, M. Hassan Najafi, Houman Homayoun, Mohsen Imani StocHD: Stochastic Hyperdimensional System for Efficient and Robust Learning from Raw Data. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wende Tan, Yuan Li, Chao Zhang 0008, Xingman Chen, Songtao Yang, Ying Liu 0024, Jianping Wu ROLoad: Securing Sensitive Operations with Pointee Integrity. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jinho Lee, Trevor E. Carlson Ultra-Fast CGRA Scheduling to Enable Run Time, Programmable CGRAs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xingyu Meng, Kshitij Raj, Atul Prasad Deb Nath, Kanad Basu, Sandip Ray SoCCAR: Detecting System-on-Chip Security Violations Under Asynchronous Resets. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Myung Seok Shim, Hanbin Hu, Peng Li 0001 Reversible Gating Architecture for Rare Failure Detection of Analog and Mixed-Signal Circuits. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yixuan Wang 0001, Chao Huang 0015, Zhilu Wang, Shichao Xu, Zhaoran Wang 0001, Qi Zhu 0002 Cocktail: Learn a Better Neural Network Controller from Multiple Experts via Adaptive Mixing and Robust Distillation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ruoyang Liu, Lu Zhang, Jingyu Wang, Huazhong Yang, Yongpan Liu PETRI: Reducing Bandwidth Requirement in Smart Surveillance by Edge-Cloud Collaborative Adaptive Frame Clustering and Pipelined Bidirectional Tracking. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wentian Jin, Liang Chen 0025, Sheriff Sadiqbatcha, Shaoyi Peng, Sheldon X.-D. Tan EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jianli Chen, Jiarui Chen, Xiao Shi, Lichong Sun, Jun Yu 0010 Late Breaking Results: Novel Discrete Dynamic Filled Function Algorithm for Acyclic Graph Partitioning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Pei Liang, Yung-Han Hsu, Tseng-Yi Chen, Shuo-Han Chen, Hsin-Wen Wei, Tsan-sheng Hsu, Wei-Kuan Shih Eco-feller: Minimizing the Energy Consumption of Random Forest Algorithm by an Eco-pruning Strategy over MLC NVRAM. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dmitry Utyamishev, Inna Partin-Vaisband Late Breaking Results: Parallelizing Net Routing with cGANs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Matteo Risso, Alessio Burrello, Daniele Jahier Pagliari, Francesco Conti 0001, Lorenzo Lamberti, Enrico Macii, Luca Benini, Massimo Poncino Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Jie Cai, Yang Hsu, Yao-Wen Chang Simultaneous Pre- and Free-assignment Routing for Multiple Redistribution Layers with Irregular Vias. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license