|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4757 occurrences of 1662 keywords
|
|
|
Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Xiangzhong Luo, Di Liu 0002, Hao Kong, Shuo Huai, Hui Chen 0016, Weichen Liu |
You only search once: on lightweight differentiable architecture search for resource-constrained embedded platforms. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Qiaochu Zhang, Shiyu Su, Mike Shuo-Wei Chen |
A cost-efficient fully synthesizable stochastic time-to-digital converter design based on integral nonlinearity scrambling. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Dominik Sisejkovic, Luca Collini, Benjamin Tan 0001, Christian Pilato, Ramesh Karri, Rainer Leupers |
Designing ML-resilient locking at register-transfer level. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Andreas Olofsson, William Ransohoff, Noah Moroze |
A distributed approach to silicon compilation: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Amrit Nagarajan, Jacob R. Stevens, Anand Raghunathan |
Efficient ensembles of graph neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yijie Wei, Zhiwei Zhong, Jie Gu 0001 |
Human emotion based real-time memory and computation management on resource-limited edge devices. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Nicholas Wendt, Todd M. Austin, Valeria Bertacco |
PriMax: maximizing DSL application performance with selective primitive acceleration. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Deokki Hong, Kanghyun Choi, Hyeyoon Lee, Joonsang Yu, Noseong Park, Youngsok Kim, Jinho Lee |
Enabling hard constraints in differentiable neural network and accelerator co-exploration. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Haoyu Yang, Zongyi Li, Kumara Sastry, Saumyadip Mukhopadhyay, Mark Kilgard, Anima Anandkumar, Brucek Khailany, Vivek Singh, Haoxing Ren |
Generic lithography modeling with dual-band optics-inspired neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Benoît W. Denkinger, Miguel Peón Quirós, Mario Konijnenburg, David Atienza, Francky Catthoor |
VWR2A: a very-wide-register reconfigurable-array architecture for low-power embedded devices. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Rob Oshana (eds.) |
DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022 |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Dhananjaya Wijerathne, Zhaoying Li, Thilini Kaushalya Bandara, Tulika Mitra |
PANORAMA: divide-and-conquer approach for mapping complex loop kernels on CGRA. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ran Bi 0001, Xinbin Liu, Jiankang Ren, Pengfei Wang, Huawei Lv, Guozhen Tan |
Efficient maximum data age analysis for cause-effect chains in automotive systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Aritra Bhattacharyay, Prabuddha Chakraborty, Jonathan Cruz 0001, Swarup Bhunia |
VIPR-PCB: a machine learning based golden-free PCB assurance framework. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Fan Zhang 0010, Zhiyong Wang, Haoting Shen, Bolin Yang, Qianmei Wu, Kui Ren 0001 |
DARPT: defense against remote physical attack based on TDC in multi-tenant scenario. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jun Xia, Ming Hu 0003, Xin Chen, Mingsong Chen |
Accelerated synthesis of neural network-based barrier certificates using collaborative learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mikail Yayla, Jian-Jia Chen |
Memory-efficient training of binarized neural networks on the edge. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Tuo Li 0001, Sri Parameswaran |
FaSe: fast selective flushing to mitigate contention-based cache timing attacks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hongxiang Fan, Ce Guo, Wayne Luk |
Optimizing quantum circuit placement via machine learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jinyan Xu, Haoran Lin, Ziqi Yuan, Wenbo Shen, Yajin Zhou, Rui Chang, Lei Wu 0012, Kui Ren 0001 |
RegVault: hardware assisted selective data randomization for operating system kernels. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhe Jiang 0004, Kecheng Yang 0001, Neil C. Audsley, Nathan Fisher, Weisong Shi, Zheng Dong 0002 |
BlueScale: a scalable memory architecture for predictable real-time computing on highly integrated SoCs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mingjun Li, Jianlei Yang 0001, Yingjie Qi, Meng Dong, Yuhao Yang, Runze Liu, Weitao Pan, Bei Yu 0001, Weisheng Zhao |
Eventor: an efficient event-based monocular multi-view stereo accelerator on FPGA platform. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hoon Shin, Rihae Park, Seung Yul Lee, Yeonhong Park, Hyunseung Lee 0001, Jae W. Lee |
Effective zero compression on ReRAM-based sparse DNN accelerators. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Ji Zhang, Xijun Li, Xiyao Zhou, Mingxuan Yuan, Zhuo Cheng, Keji Huang, Yifan Li |
L-QoCo: learning to optimize cache capacity overloading in storage systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Chun-Feng Wu, Carole-Jean Wu, Gu-Yeon Wei, David Brooks 0001 |
A joint management middleware to improve training performance of deep recommendation systems with SSDs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhijie Yang, Lei Wang, Yao Wang, LingHui Peng, Xiaofan Chen, Xun Xiao, Yaohua Wang, Weixia Xu |
Unicorn: a multicore neuromorphic processor with flexible fan-in and unconstrained fan-out for neurons. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Sarada Krithivasan, Sanchari Sen, Nitin Rathi, Kaushik Roy 0001, Anand Raghunathan |
Efficiency attacks on spiking neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Fan Zhang 0069, Li Yang 0009, Jian Meng, Jae-sun Seo, Yu Kevin Cao, Deliang Fan |
XMA: a crossbar-aware multi-task adaption framework via shift-based mask learning method. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mihaela Damian, Julian Oppermann, Christoph Spang 0001, Andreas Koch 0001 |
SCAIE-V: an open-source SCAlable interface for ISA extensions for RISC-V processors. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Walter Lau Neto, Luca G. Amarù, Vinicius Possani, Patrick Vuillod, Jiong Luo, Alan Mishchenko, Pierre-Emmanuel Gaillardon |
Improving LUT-based optimization for ASICs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hongwu Peng, Shaoyi Huang, Shiyang Chen, Bingbing Li, Tong Geng, Ang Li 0006, Weiwen Jiang, Wujie Wen, Jinbo Bi, Hang Liu 0001, Caiwen Ding |
A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jiaqi Li 0006, Min Peng 0002, Qingan Li, Meizheng Peng, Mengting Yuan |
GLite: a fast and efficient automatic graph-level optimizer for large-scale DNNs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yunsheng Bai, Atefeh Sohrabizadeh, Yizhou Sun, Jason Cong |
Improving GNN-based accelerator design automation with meta learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Feng Ren, Kang Chen, Yongwei Wu |
libcrpm: improving the checkpoint performance of NVM. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Mengshu Sun, Zhengang Li, Alec Lu, Haoyu Ma, Geng Yuan, Yanyue Xie, Hao Tang 0005, Yanyu Li, Miriam Leeser, Zhangyang Wang, Xue Lin, Zhenman Fang |
FPGA-aware automatic acceleration framework for vision transformer with mixed-scheme quantization: late breaking results. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shijin Duan, Yejia Liu, Shaolei Ren, Xiaolin Xu |
LeHDC: learning-based hyperdimensional computing classifier. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | |
Frontmatter. |
DAC |
2022 |
DBLP BibTeX RDF |
|
1 | Nicolas Bohm Agostini, Serena Curzel, Ankur Limaye, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, Antonino Tumeo, Fabrizio Ferrandi |
The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Maimaiti Nazhamaiti, Haijin Su, Han Xu 0006, Zheyu Liu, Fei Qiao, Qi Wei 0001, Zidong Du, Xinghua Yang, Li Luo |
In-situ self-powered intelligent vision system with inference-adaptive energy scheduling for BNN-based always-on perception. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Ang Li 0005, Minxue Tang, Tunhou Zhang, Jiang Hu, Yiran Chen 0001 |
Towards collaborative intelligence: routability estimation based on decentralized private data. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Bowen Wang, Guibao Shen, Dong Li 0016, Jianye Hao, Wulong Liu, Yu Huang, Hongzhong Wu, Yibo Lin, Guangyong Chen, Pheng-Ann Heng |
LHNN: lattice hypergraph neural network for VLSI congestion prediction. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Lin Zhang, Zifan Wang, Mengyu Liu, Fanxin Kong |
Adaptive window-based sensor attack detection for cyber-physical systems. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zihan Wang, Chengcheng Wan, Yuting Chen, Ziyi Lin, He Jiang 0001, Lei Qiao |
Hierarchical memory-constrained operator scheduling of neural architecture search networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Gregor Haas, Aydin Aysu |
Apple vs. EMA: electromagnetic side channel attacks on apple CoreCrypto. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yongho Lee, Osang Kwon, Seokin Hong |
Don't open row: rethinking row buffer policy for improving performance of non-volatile memories. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Huimin Wang, Xingyu Tong, Chenyue Ma, Runming Shi, Jianli Chen, Kun Wang 0005, Jun Yu 0010, Yao-Wen Chang |
CNN-inspired analytical global placement for large-scale heterogeneous FPGAs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Qichao Tao, Jie Han 0001 |
Solving traveling salesman problems via a parallel fully connected ising machine. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hojun Choi, Youngmoon Lee |
Thermal-aware drone battery management: late breaking results. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Behnam Khaleghi, Jaeyoung Kang 0001, Hanyang Xu, Justin Morris, Tajana Rosing |
GENERIC: highly efficient learning engine on edge using hyperdimensional computing. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Joonsang Yu, Junki Park, Seongmin Park, Minsoo Kim, Sihwa Lee, Dong Hyun Lee, Jungwook Choi |
NN-LUT: neural approximation of non-linear operations for efficient transformer inference. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Haoran Dang, Chongnan Ye, Yanpeng Hu, Chundong Wang 0001 |
NobLSM: an LSM-tree with non-blocking writes for SSDs. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Feijie Wu, Shiqi He, Song Guo 0001, Zhihao Qu, Haozhao Wang, Weihua Zhuang, Jie Zhang 0076 |
Sign bit is enough: a learning synchronization framework for multi-hop all-reduce with ultimate compression. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zhao Gu, Rodolfo Pellizzoni |
Optimizing parallel PREM compilation over nested loop structures. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Sung-En Chang, Geng Yuan, Alec Lu, Mengshu Sun, Yanyu Li, Xiaolong Ma, Zhengang Li, Yanyue Xie, Minghai Qin, Xue Lin, Zhenman Fang, Yanzhi Wang |
Hardware-efficient stochastic rounding unit design for DNN training: late breaking results. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Linus Witschen, Tobias Wiersema, Lucas Reuter, Marco Platzner |
Search space characterization for approximate logic synthesis. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Liancheng Jia, Yuyue Wang 0001, Jingwen Leng, Yun Liang 0001 |
EMS: efficient memory subsystem synthesis for spatial accelerators. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Nan Wu, Hang Yang, Yuan Xie 0001, Pan Li 0005, Cong Hao |
High-level synthesis performance prediction using GNNs: benchmarking, modeling, and advancing. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Anirban Chakraborty 0003, Nikhilesh Singh, Sarani Bhattacharya, Chester Rebeiro, Debdeep Mukhopadhyay |
Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Arnav Vaibhav Malawade, Trier Mortlock, Mohammad Abdullah Al Faruque |
EcoFusion: energy-aware adaptive sensor fusion for efficient autonomous vehicle perception. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Siddhartha Nath, Geraldo Pradipta, Corey Hu, Tian Yang, Brucek Khailany, Haoxing Ren |
Generative self-supervised learning for gate sizing: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yonggan Fu, Qixuan Yu, Meng Li 0004, Xu Ouyang, Vikas Chandra, Yingyan Lin |
Contrastive quant: quantization makes stronger contrastive learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Zuodong Zhang, Zizheng Guo, Yibo Lin, Runsheng Wang, Ru Huang |
AVATAR: an aging- and variation-aware dynamic timing analyzer for application-based DVAFS. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Gaurav Kolhe, Tyler David Sheaves, Kevin Immanuel Gubbi, Soheil Salehi, Setareh Rafatirad, Sai Manoj P. D., Avesta Sasan, Houman Homayoun |
LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Shiju Lin, Jinwei Liu, Tianji Liu, Martin D. F. Wong, Evangeline F. Y. Young |
NovelRewrite: node-level parallel AIG rewriting. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Soobee Lee, Minindu Weerakoon, Jonghyun Choi, Minjia Zhang, Di Wang 0003, Myeongjae Jeon |
CarM: hierarchical episodic memory for continual learning. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Diansen Sun, Yunpeng Chai, Chaoyang Liu, Weihao Sun, Qingpeng Zhang |
R2B: high-efficiency and fair I/O scheduling for multi-tenant with differentiated demands. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Hanrui Wang 0002, Jiaqi Gu, Yongshan Ding 0001, Zirui Li, Frederic T. Chong, David Z. Pan, Song Han 0003 |
QuantumNAT: quantum noise-aware training with noise injection, quantization and normalization. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou 0001, Jia Wang 0003, Fan Yang 0001, Xuan Zeng 0001, Li Shang |
Floorplanning with graph attention. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Alex Carsello, James Thomas 0003, Ankita Nayak, Po-Han Chen, Mark Horowitz, Priyanka Raina, Christopher Torng |
mflowgen: a modular flow generator and ecosystem for community-driven physical design: invited. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Kevin Kai-Chun Chang, Chun-Yao Chiang, Pei-Yu Lee, Iris Hui-Ru Jiang |
Timing macro modeling with graph neural networks. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
1 | Rajarshi Roy 0003, Jonathan Raiman, Neel Kant, Ilyas Elkin, Robert Kirby 0001, Michael Y. Siu, Stuart F. Oberman, Saad Godil, Bryan Catanzaro |
PrefixRL: Optimization of Parallel Prefix Circuits using Deep Reinforcement Learning. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Prathyush Poduval, Zhuowen Zou, Xunzhao Yin, Elaheh Sadredini, Mohsen Imani |
Cognitive Correlative Encoding for Genome Sequence Matching in Hyperdimensional System. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Fan Zhang 0069, Shaahin Angizi, Naima Ahmed Fahmi, Wei Zhang 0076, Deliang Fan |
PIM-Quantifier: A Processing-in-Memory Platform for mRNA Quantification. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Md Sami Ul Islam Sami, Fahim Rahman, Farimah Farahmandi, Adam Cron, Mike Borza, Mark M. Tehranipoor |
Invited: End-to-End Secure SoC Lifecycle Management. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Pengfei Zuo, Yu Hua 0001, Ling Liang, Xinfeng Xie, Xing Hu 0001, Yuan Xie 0001 |
SEALing Neural Network Models in Encrypted Deep Learning Accelerators. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Liancheng Jia, Zizhang Luo, Liqiang Lu, Yun Liang 0001 |
TensorLib: A Spatial Accelerator Generation Framework for Tensor Algebra. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Emre Karabulut, Aydin Aysu |
FALCON Down: Breaking FALCON Post-Quantum Signature Scheme through Side-Channel Attacks. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Bingshu Wang, Lanfan Jiang, Wenxing Zhu, Longkun Guo, Jianli Chen, Yao-Wen Chang |
Two-Stage Neural Network Classifier for the Data Imbalance Problem with Application to Hotspot Detection. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Chengsi Gao, Ying Wang 0001, Weiwei Chen, Lei Zhang 0008 |
An Intelligent Video Processing Architecture for Edge-cloud Video Streaming. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Luca Gaetano Amarù, Vinicius N. Possani, Eleonora Testa, Felipe S. Marranghello, Christopher Casares, Jiong Luo, Patrick Vuillod, Alan Mishchenko, Giovanni De Micheli |
LUT-Based Optimization For ASIC Design Flow. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Naimul Hassan, Alexander J. Edwards, Dhritiman Bhattacharya, Mustafa M. Shihab, Varun Venkat, Peng Zhou, Xuan Hu, Shamik Kundu, Abraham Peedikayil Kuruvila, Kanad Basu, Jayasimha Atulasimha, Yiorgos Makris, Joseph S. Friedman |
Secure Logic Locking with Strain-Protected Nanomagnet Logic. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yuan Li 0029, Ahmed Louri, Avinash Karanth |
Scaling Deep-Learning Inference with Chiplet-based Architecture and Photonic Interconnects. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Alessandro Pinto |
Requirement Specification, Analysis and Verification for Autonomous Systems. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yuan Zhou, Hanyu Wang 0005, Jieming Yin, Zhiru Zhang |
Distilling Arbitration Logic from Traces using Machine Learning: A Case Study on NoC. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Jiafeng Xie, Pengzhou He, Wujie Wen |
Efficient Implementation of Finite Field Arithmetic for Binary Ring-LWE Post-Quantum Cryptography Through a Novel Lookup-Table-Like Method. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay |
Towards Improving the Trustworthiness of Hardware based Malware Detector using Online Uncertainty Estimation. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yonggan Fu, Zhongzhi Yu, Yongan Zhang, Yifan Jiang 0001, Chaojian Li, Yongyuan Liang, Mingchao Jiang, Zhangyang Wang, Yingyan Lin |
InstantNet: Automated Generation and Deployment of Instantaneously Switchable-Precision Networks. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Prathyush Poduval, Zhuowen Zou, M. Hassan Najafi, Houman Homayoun, Mohsen Imani |
StocHD: Stochastic Hyperdimensional System for Efficient and Robust Learning from Raw Data. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Wende Tan, Yuan Li, Chao Zhang 0008, Xingman Chen, Songtao Yang, Ying Liu 0024, Jianping Wu |
ROLoad: Securing Sensitive Operations with Pointee Integrity. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Jinho Lee, Trevor E. Carlson |
Ultra-Fast CGRA Scheduling to Enable Run Time, Programmable CGRAs. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Xingyu Meng, Kshitij Raj, Atul Prasad Deb Nath, Kanad Basu, Sandip Ray |
SoCCAR: Detecting System-on-Chip Security Violations Under Asynchronous Resets. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Myung Seok Shim, Hanbin Hu, Peng Li 0001 |
Reversible Gating Architecture for Rare Failure Detection of Analog and Mixed-Signal Circuits. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yixuan Wang 0001, Chao Huang 0015, Zhilu Wang, Shichao Xu, Zhaoran Wang 0001, Qi Zhu 0002 |
Cocktail: Learn a Better Neural Network Controller from Multiple Experts via Adaptive Mixing and Robust Distillation. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Ruoyang Liu, Lu Zhang, Jingyu Wang, Huazhong Yang, Yongpan Liu |
PETRI: Reducing Bandwidth Requirement in Smart Surveillance by Edge-Cloud Collaborative Adaptive Frame Clustering and Pipelined Bidirectional Tracking. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Wentian Jin, Liang Chen 0025, Sheriff Sadiqbatcha, Shaoyi Peng, Sheldon X.-D. Tan |
EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Jianli Chen, Jiarui Chen, Xiao Shi, Lichong Sun, Jun Yu 0010 |
Late Breaking Results: Novel Discrete Dynamic Filled Function Algorithm for Acyclic Graph Partitioning. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yu-Pei Liang, Yung-Han Hsu, Tseng-Yi Chen, Shuo-Han Chen, Hsin-Wen Wei, Tsan-sheng Hsu, Wei-Kuan Shih |
Eco-feller: Minimizing the Energy Consumption of Random Forest Algorithm by an Eco-pruning Strategy over MLC NVRAM. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Dmitry Utyamishev, Inna Partin-Vaisband |
Late Breaking Results: Parallelizing Net Routing with cGANs. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Matteo Risso, Alessio Burrello, Daniele Jahier Pagliari, Francesco Conti 0001, Lorenzo Lamberti, Enrico Macii, Luca Benini, Massimo Poncino |
Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
1 | Yu-Jie Cai, Yang Hsu, Yao-Wen Chang |
Simultaneous Pre- and Free-assignment Routing for Multiple Redistribution Layers with Irregular Vias. |
DAC |
2021 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 8394 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|