The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Arnaldo Azevedo, Bart Vermeulen, Kees Goossens Architecture and design flow for a debug event distribution interconnect. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Kishore Kollu, Trey Jackson, Farhad Kharas, Anant Adke Unifying design data during verification: Implementing Logic-Driven Layout analysis and debug. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yoav Apter, David H. Lorenz, Oren Mishali A debug interface for debugging multiple domain specific aspect languages. Search on Bibsonomy AOSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Amir Masoud Gharehbaghi, Masahiro Fujita Transaction-based post-silicon debug of many-core System-on-Chips. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mohammad Hossein Neishaburi, Zeljko Zilic An enhanced debug-aware network interface for Network-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Xiao Liu 0011, Qiang Xu 0001 On efficient silicon debug with flexible trace interconnection fabric. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Srikanth Venkataraman, Nagesh Tamarapalli Tutorial T3: DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Feng Yuan, Xiao Liu 0011, Qiang Xu 0001 X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Ho Fai Ko, Adam B. Kinsman, Nicola Nicolici Design-for-Debug Architecture for Distributed Embedded Logic Analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ehab Anis Daoud, Nicola Nicolici Embedded Debug Architecture for Bypassing Blocking Bugs During Post-Silicon Validation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Iftikhar Ahmed Khan, Willem-Paul Brinkman, Robert M. Hierons Do moods affect programmers' debug performance? Search on Bibsonomy Cogn. Technol. Work. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ehab Anis Daoud, Nicola Nicolici On Using Lossy Compression for Repeatable Experiments during Silicon Debug. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Preeti Ranjan Panda, M. Balakrishnan, Anant Vishnoi Compressing Cache State for Postsilicon Processor Debug. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16André V. Fidalgo, Manuel G. Gericota, Gustavo R. Alves, José M. Ferreira 0001 Real-time fault injection using enhanced on-chip debug infrastructures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16François Hantry, Mohand-Said Hacid Handling Conflicts in Depth-First Search for LTL Tableau to Debug Compliance Based Languages Search on Bibsonomy FLACOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sumanta Chaudhuri, Sylvain Guilley, Philippe Hoogvorst, Jean-Luc Danger, Taha Beyrouthy, Alin Razafindraibe, Laurent Fesquet, Marc Renaudin A Secure Asynchronous FPGA Architecture, Experimental Results and Some Debug Feedback Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
16Simon Schenk, Renata Queiroz Dividino, Steffen Staab Using provenance to debug changing ontologies. Search on Bibsonomy J. Web Semant. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Bart Vermeulen, Kees Goossens Interactive Debug of SoCs with Multiple Clocks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Keith A. Bowman, Carlos Tokunaga, James W. Tschanz, Arijit Raychowdhury, Muhammad M. Khellah, Bibiche M. Geuskens, Shih-Lien Lu, Paolo A. Aseron, Tanay Karnik, Vivek K. De All-Digital Circuit-Level Dynamic Variation Monitor for Silicon Debug and Adaptive Clock Control. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Automatic Generation of Software-based Functional Failing Test for Speed Debug and On-silicon Timing Verification. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Masaru Shiozuka, Naoyasu Ubayashi, Yasutaka Kamei Debug Concern Navigator. Search on Bibsonomy SEKE The full citation details ... 2011 DBLP  BibTeX  RDF
16Markus Winterholer Embedded software debug and test: Needs and requirements for innovations in debugging. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  BibTeX  RDF
16Akitoshi Matsuda, Tohru Ishihara Developing an integrated verification and debug methodology. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Xiao Liu 0011, Qiang Xu 0001 On multiplexed signal tracing for post-silicon debug. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Andreas G. Veneris, Brian Keng, Sean Safarpour From RTL to silicon: The case for automated debug. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hratch Mangassarian, Andreas G. Veneris, Duncan Exon Smith, Sean Safarpour Debugging with dominance: On-the-fly RTL debug solution implications. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Debapriya Chatterjee, Calvin McCarter, Valeria Bertacco Simulation-based signal selection for state restoration in silicon debug. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Tsung-Yen Tsai, Gordon W. Roberts Programmable phase/frequency generator for system debug and diagnosis using the IEEE 1149.1 test bus. Search on Bibsonomy CICC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Peng Liu, Lixin Yu, Qin Hui An Improved On-chip Debug Architecture for SPARC Processor based on Shadow Scan Technique. Search on Bibsonomy PECCS The full citation details ... 2011 DBLP  BibTeX  RDF
16Virendra Singh, Masahiro Fujita Tutorial: "Post silicon debug of SOC designs". Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kuen-Jong Lee, Alan P. Su, Long-Feng Chen, Jia-Wei Jhou, Jiff Kuo, Mark Liu A software/hardware co-debug platform for multi-core systems. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mohammad Hossein Neishaburi, Zeljko Zilic Debug Aware AXI-based Network Interface. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Eddie Hung, Steven J. E. Wilton On evaluating signal selection algorithms for post-silicon debug. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta Low Coverage Analysis using dynamic un-testability debug in ATPG. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ruo Ando, Kuniyasu Suzaki A Lightweight Access Log Filter of Windows OS Using Simple Debug Register Manipulation. Search on Bibsonomy FGIT-SecTech The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jing Zeng Challenges and best practices in advanced silicon debug. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kuo-An Chen, Tsung-Wei Chang, Meng-Chen Wu, Mango Chia-Tso Chao, Jing-Yang Jou, Sonair Chen Design-for-debug layout adjustment for FIB probing and circuit editing. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16M. Enamul Amyeen, Andal Jayalakshmi, Srikanth Venkataraman, Sundar V. Pathy, Ewe C. Tan Logic BIST silicon debug and volume diagnosis methodology. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kanad Basu, Prabhat Mishra 0001, Priyadarsan Patra Efficient combination of trace and scan signals for post silicon validation and debug. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sandesh Prabhakar, Rajamani Sethuram, Michael S. Hsiao Trace Buffer-Based Silicon Debug with Lossless Compression. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Kanad Basu, Prabhat Mishra 0001 Efficient Trace Signal Selection for Post Silicon Validation and Debug. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Juan Carlos Acosta Guadarrama Towards a Logic-programming System to Debug ASP Knowledge Bases. Search on Bibsonomy LA-NMR The full citation details ... 2011 DBLP  BibTeX  RDF
16Cristian Zamfir, Gautam Altekar, George Candea Debug Determinism: The Sweet Spot for Replay-Based Debugging. Search on Bibsonomy HotOS The full citation details ... 2011 DBLP  BibTeX  RDF
16Zachary K. Baker, Mark E. Dunham, Keith Morgan, Michael Pigue, Matthew Stettler, Paul S. Graham, Eric N. Schmierer, John Power Space-Based FPGA Radio Receiver Design, Debug, and Development of a Radiation-Tolerant Computing System. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jianliang Gao, Yinhe Han 0001, Xiaowei Li 0001 A Novel Post-Silicon Debug Mechanism Based on Suspect Window. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an infrastructure-intellectual property for systems-on-chip test, diagnosis and silicon debug. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Hratch Mangassarian, Andreas G. Veneris, Marco Benedetti Robust QBF Encodings for Sequential Circuits with Applications to Verification, Debug, and Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF k-induction, sequential ATPG, SAT, QBF, design debugging, BMC
16Robert S. Laramee Using Visualization to Debug Visualization Software. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Pierre-Marc Fournier, Michel R. Dagenais Analyzing blocking to debug performance problems on multi-core systems. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Brian Keng, Andreas G. Veneris, Sean Safarpour An Automated Framework for Correction and Debug of PSL Assertions. Search on Bibsonomy MTV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Preeti Ranjan Panda, Anant Vishnoi, M. Balakrishnan Enhancing post-silicon processor debug with Incremental Cache state Dumping. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Grant Martin, Albrecht Mayer Panel 6.8: The challenges of heterogeneous multicore debug. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  BibTeX  RDF
16Mohammad Hossein Neishaburi, Zeljko Zilic Enabling efficient post-silicon debug by clustering of hardware-assertions. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Erik Larsson, Bart Vermeulen, Kees Goossens A distributed architecture to check global properties for post-silicon debug. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jing Zeng, Ruifeng Guo, Wu-Tung Cheng, Michael Mateja, Jing Wang, Kun-Han Tsai, Ken Amstutz Scan based speed-path debug for a microprocessor. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Del Myers, Margaret-Anne D. Storey, Martin Salois Utilizing Debug Information to Compact Loops in Large Program Traces. Search on Bibsonomy CSMR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Bart Vermeulen, Kees Goossens Obtaining consistent global state dumps to interactively debug systems on chip with multiple clocks. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Henri Heiskanen, Antti Jääskeläinen, Mika Katara Debug Support for Model-Based GUI Testing. Search on Bibsonomy ICST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Richard Phillips, Bonnie Montalvo Using emulation to debug control logic code. Search on Bibsonomy WSC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Michelangelo Grosso, Matteo Sonza Reorda, Marta Portela-García, Mario García-Valderas, Celia López-Ongil, Luis Entrena An on-line fault detection technique based on embedded debug features. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Nicola Nicolici On improving real-time observability for in-system post-silicon debug. Search on Bibsonomy LATW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yu-Shen Yang, Brian Keng, Nicola Nicolici, Andreas G. Veneris, Sean Safarpour Automated silicon debug data analysis techniques for a hardware data acquisition environment. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Johnny J. W. Kuan, Steven J. E. Wilton, Tor M. Aamodt Accelerating trace computation in post-silicon debug. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Smriti Gupta Innovative practices session 5C: Post-silicon debug. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Nick Papoylias High-Level Debugging Facilities and Interfaces: Design and Developement of a Debug-Oriented I.D.E. (PDF / PS) Search on Bibsonomy OSS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Loïc Lagadec, Damien Picard Smalltalk debug lives in the matrix. Search on Bibsonomy IWST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kypros Constantinides, Todd M. Austin Using introspective software-based testing for post-silicon debug and repair. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Ehab Anis Daoud, Nicola Nicolici Real-Time Lossless Compression for Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Emilio Serrano, Jorge J. Gómez-Sanz, Juan A. Botía, Juan Pavón Intelligent data analysis applied to debug complex software systems. Search on Bibsonomy Neurocomputing The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Stefan Holst, Hans-Joachim Wunderlich Adaptive Debug and Diagnosis Without Fault Dictionaries. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Apostolos Syropoulos Can we debug the Universe? Search on Bibsonomy CoRR The full citation details ... 2009 DBLP  BibTeX  RDF
16Ishwar Parulkar, Babu Turumella Comprehensive Approach to High-Performance Server Chipset Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Alberto de la Encina, Mercedes Hidalgo-Herrero, Pablo Rabanal, Fernando Rubio 0001 Applying Evolutionary Techniques to Debug Functional Programs. Search on Bibsonomy IWANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Byeongcheol Lee, Martin Hirzel, Robert Grimm 0001, Kathryn S. McKinley Debug all your code: portable mixed-environment debugging. Search on Bibsonomy OOPSLA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF composition, JNI, foreign function interface
16Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Cache aware compression for processor debug support. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yu-Shen Yang, Nicola Nicolici, Andreas G. Veneris Automated data analysis solutions to silicon debug. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Raimund Ubar, Sergei Kostin, Jaan Raik Block-Level Fault Model-Free Debug and Diagnosis in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ruifeng Guo, Wu-Tung Cheng, Kun-Han Tsai Speed-Path Debug Using At-Speed Scan Test Patterns. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Nicola Nicolici, Ho Fai Ko Design-for-debug for post-silicon validation: Can high-level descriptions help? Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Sunil R. Shenoy Leadership Microprocessors: Validation, debug and test. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Sandesh Prabhakar, Michael S. Hsiao Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yeonbok Lee, Tasuku Nishihara, Takeshi Matsumoto, Masahiro Fujita A Post-Silicon Debug Support Using High-Level Design Description. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Seyyed Amir Asghari, Hamid R. Zarandi, Hossein Pedram, Morteza Ansarinia, Mohammad Khademi A Fault Injection Attitude based on Background Debug Mode in Embedded Systems. Search on Bibsonomy CDES The full citation details ... 2009 DBLP  BibTeX  RDF
16Zachary K. Baker, Joshua S. Monson In-situ FPGA Debug Driven by On-Board Microcontroller. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Extreme Environments, FPGA, Debugging, Space, JTAG
16Degui Feng, Jian Chen, Like Yan, Binbin Wu, Xueqing Lou, Tianzhou Chen The Implementation of a Mobile Java Debug Tool. Search on Bibsonomy ScalCom-EmbeddedCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Nicolas Pouillon, Alexandre Bécoulet, Aline Vieira de Mello, François Pêcheux, Alain Greiner A Generic Instruction Set Simulator API for Timed and Untimed Simulation and Debug of MP2-SoCs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kuen-Jong Lee, Si-Yuan Liang, Alan P. Su A low-cost SOC debug platform based on on-chip test architectures. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Eduardo Ribeiro da Silva, F. Costa, Frank Herman Behrens, Remerson Stein Kickhofel, Ricardo Maltione Using mixed-mode test bus architecture to RF-based fault injection analysis and EMC fault debug. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Stefano Di Carlo, Nadereh Hatami, Paolo Prinetto, Alessandro Savino System Level Testing via TLM 2.0 Debug Transport Interface. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Joon-Sung Yang, Nur A. Touba Automated Selection of Signals to Observe for Efficient Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Richard McLaughlin, Srikanth Venkataraman, Carlston Lim Automated Debug of Speed Path Failures Using Functional Tests. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jianliang Gao, Yinhe Han 0001, Xiaowei Li 0001 A New Post-Silicon Debug Approach Based on Suspect Window. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Rex Petersen, Pankaj Pant, Pablo Lopez, Aaron Barton, Jim Ignowski, Doug Josephson Voltage transient detection and induction for debug and test. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16M. Enamul Amyeen, Srikanth Venkataraman, Mun Wai Mak Microprocessor system failures debug and fault isolation methodology. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Christian Boit, Rudolf Schlangen, Uwe Kerst, Ted R. Lundquist Physical Techniques for Chip-Backside IC Debug in Nanotechnologies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Khairul Khusyari, Wei Tee Ng, Neal Jaarsma, Robert Abraham, Peng Weng Ng, Boon Hui Ang, Chin Hu Ong Diagnosis of Voltage Dependent Scan Chain Failure Using VBUMP Scan Debug Method. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hyunbean Yi, Sungju Park, Sandip Kundu A Design-for-Debug (DfD) for NoC-Based SoC Debugging via NoC. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xiao Liu 0011, Qiang Xu 0001 On Reusing Test Access Mechanisms for Debug Data Transfer in SoC Post-Silicon Validation. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mike Li, Gordon W. Roberts Session 4 - High-speed test, characterization, and debug. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jan Lönnberg, Lauri Malmi, Anders Berglund Helping students debug concurrent programs. Search on Bibsonomy Koli Calling The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1206 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license