The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1982 (22) 1983-1985 (18) 1986-1988 (27) 1989-1990 (20) 1991-1992 (19) 1993 (68) 1994 (66) 1995 (85) 1996 (82) 1997 (78) 1998 (82) 1999 (107) 2000 (108) 2001 (127) 2002 (141) 2003 (177) 2004 (165) 2005 (190) 2006 (216) 2007 (189) 2008 (214) 2009 (173) 2010 (170) 2011 (129) 2012 (161) 2013 (70) 2014 (163) 2015 (89) 2016 (106) 2017 (134) 2018 (113) 2019 (139) 2020 (111) 2021 (116) 2022 (114) 2023 (144) 2024 (24)
Publication types (Num. hits)
article(1278) book(2) data(1) incollection(4) inproceedings(2831) phdthesis(8) proceedings(33)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1714 occurrences of 747 keywords

Results
Found 4157 publication records. Showing 4157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Jorge Semião, David Saraiva, Carlos Leong, André Romão, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Performance sensor for tolerance and predictive detection of delay-faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Shahrzad Keshavarz, Amirreza Nekooei, Zainalabedin Navabi Preemptive multi-bit IJTAG testing with reconfigurable infrastructure. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Martin Omaña 0001, Daniele Rossi 0001, Edda Beniamino, Cecilia Metra, Chandra Tirumurti, Rajesh Galivanche Power droop reduction during Launch-On-Shift scan-based logic BIST. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Stefano Di Carlo, Marco Indaco, Paolo Prinetto, Elena I. Vatajelu, Rosa Rodríguez-Montañés, Joan Figueras Reliability estimation at block-level granularity of spin-transfer-torque MRAMs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis A probabilistic analysis of resilient reconfigurable designs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Florian Haas, Sebastian Weis, Stefan Metzlaff, Theo Ungerer Exploiting Intel TSX for fault-tolerant execution in safety-critical systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Energy-efficient concurrent testing approach for many-core systems in the dark silicon age. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Daniel A. G. de Oliveira, Paolo Rech, Laércio Lima Pilla, Philippe Olivier Alexandre Navaux, Luigi Carro GPGPUs ECC efficiency and efficacy. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Prashant D. Joshi, Said Hamdioui Security methods in fault tolerant modified line graph based networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Miao Tony He, Mohammad Tehranipoor SAM: A comprehensive mechanism for accessing embedded sensors in modern SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Bahareh J. Farahani, Saeed Safari An instance-based SER analysis in the presence of PVTA variations. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Prashant D. Joshi, Said Hamdioui Shortest path reduction in a class of uniform fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Bartolomeo Montrucchio, Maurizio Rebaudengo, Alejandro Velasco Fault injection in the process descriptor of a Unix-based operating system. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Tiago A. O. Alves, Leandro A. J. Marzulo, Sandip Kundu, Felipe Maia Galvão França Domino effect protection on dataflow error detection and recovery. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Senwen Kan, Jennifer Dworak Triggering Trojans in SRAM circuits with X-propagation. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Angelo Bacchini, Marco Rovatti, Gianluca Furano, Marco Ottavi Characterization of data retention faults in DRAM devices. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Hossein Sayadi, Hamed Farbeh, Amir Mahdi Hosseini Monazzah, Seyed Ghassem Miremadi A data recomputation approach for reliability improvement of scratchpad memory in embedded systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Yongsuk Choi, Chun-hsiang Chang, In-Seok Jung, Marvin Onabajo, Yong-Bin Kim A built-in calibration system with a reduced FFT engine for linearity optimization of low power LNA. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Chuanlei Zheng, Shuai Wang 0006 Characterizing soft error vulnerability of cache coherence protocols for chip-multiprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Manoj Kumar 0001, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Masoumeh Ebrahimi, Mark Zwolinski Fault tolerant and highly adaptive routing for 2D NoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Hassen Aziza, Haithem Ayari, Santhosh Onkaraiah, Jean-Michel Portal, Mathieu Moreau, Marc Bocquet Oxide based resistive RAM: ON/OFF resistance analysis versus circuit variability. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Wei Wei 0034, Fabrizio Lombardi, Kazuteru Namba Designs and analysis of non-volatile memory cells for single event upset (SEU) tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012 Search on Bibsonomy DFT The full citation details ... 2012 DBLP  BibTeX  RDF
27Richard A. Guinee A novel pseudonoise tester for transmission line fault location and identification using pseudorandom binary sequences. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni, Adrian Evans Single event upset tolerance in flip-flop based microprocessor cores. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Sreenivas Gangadhar, Spyros Tragoudas Accurate calculation of SET propagation probability for hardening. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Linus Feiten, Matthias Sauer 0002, Tobias Schubert 0001, Alexander Czutro, Eberhard Böhl, Ilia Polian, Bernd Becker 0001 #SAT-based vulnerability analysis of security components - A case study. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Oscar Acevedo, Dimitri Kagaris Using the Berlekamp-Massey algorithm to obtain LFSR characteristic polynomials for TPG. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Yang Lu, Fabrizio Lombardi, Salvatore Pontarelli, Marco Ottavi On the design of two single event tolerant slave latches for scan delay testing. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Tomohiro Yoneda, Masashi Imai Dependable routing in multi-chip NoC platforms for automotive applications. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Ali Arabi M. Shahi, Payman Zarkesh-Ha Prediction of gate delay variation for CNFET under CNT density variation. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Alireza Rohani, Hans G. Kerkhoff An on-line soft error mitigation technique for control logic of VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Mohammad Maghsoudloo, Hamid R. Zarandi Dirty data vulnerability mitigation by means of sharing management in cache coherence protocols. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Juan Carlos Martínez Santos, Yunsi Fei Designing and implementing a Malicious 8051 processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Rohit Thomas, Israel Koren, Zahava Koren Relating digital imager defect rates to pixel size, sensor area and ISO. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz Built-in generation of multi-cycle broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Jianping Gong, Yong-Bin Kim, Fabrizio Lombardi, Jie Han 0001 Hardening a memory cell for low power operation by gate leakage reduction. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz Maintaining proximity to functional operation conditions under enhanced-scan tests based on functional broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz Generation and compaction of mixed broadside and skewed-load n-detection test sets for transition faults. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Vadim Geurkov Optimal choice of arithmetic compactors for mixed-signal systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Yifat Manzor, Osnat Keren Amalgamated q-ary codes for multi-level flash memories. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Xuehui Zhang, Kan Xiao, Mohammad Tehranipoor Path-delay fingerprinting for identification of recovered ICs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Kyu-Nam Shim, Jiang Hu A low overhead built-in delay testing with voltage and frequency adaptation for variation resilience. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Adam Watkins, Spyros Tragoudas Transient pulse propagation using the Weibull distribution function. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Rance Rodrigues, Israel Koren, Sandip Kundu A mechanism to verify cache coherence transactions in multicore systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Implementing defect tolerance in 3D-ICs by exploiting degrees of freedom in assembly. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Kazuteru Namba, Takashi Katagiri, Hideo Ito Dual-edge-triggered FF with timing error detection capability. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Gabriel L. Nazar, Luigi Carro Fast single-FPGA fault injection platform. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Antonio Miele, Chiara Sandionigi, Marco Ottavi, Salvatore Pontarelli, Adelio Salsano, Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante, Simone Gerardin, Marta Bagatin, Alessandro Paccagnella High-reliability fault tolerant digital systems in nanometric technologies: Characterization and design methodologies. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Fabrizio Lombardi, Nohpill Park, Haider A. F. Almurib, T. Nandha Kumar On the multiple fault detection of a nano crossbar. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Chuanlei Zheng, Parijat Shukla, Shuai Wang 0006, Jie S. Hu Exploring hardware transaction processing for reliable computing in chip-multiprocessors against soft errors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Xinmu Wang, Tatini Mal-Sarkar, Aswin Raghav Krishna, Seetharam Narasimhan, Swarup Bhunia Software exploitable hardware Trojans in embedded processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Da Cheng, Sandeep K. Gupta 0001 A systematic methodology to improve yield per area of highly-parallel CMPs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor Incorporating parameter variations in BTI impact on nano-scale logical gates analysis. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Mehryar Rahmatian, Hessam Kooti, Ian G. Harris, Elaheh Bozorgzadeh Minimization of Trojan footprint by reducing Delay/Area impact. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Wenpo Zhang, Kazuteru Namba, Hideo Ito Improving small-delay fault coverage for on-chip delay measurement. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Mafalda Cortez, Apurva Dargar, Said Hamdioui, Geert Jan Schrijen Modeling SRAM start-up behavior for Physical Unclonable Functions. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Martin Omaña 0001, Daniele Rossi 0001, G. Collepalumbo, Cecilia Metra, Fabrizio Lombardi Faults affecting the control blocks of PV arrays and techniques for their concurrent detection. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Ke Huang 0001, John M. Carulli Jr., Yiorgos Makris Parametric counterfeit IC detection via Support Vector Machines. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Jean DaRolt, Amitabh Das, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede A scan-based attack on Elliptic Curve Cryptosystems in presence of industrial Design-for-Testability structures. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Cinzia Bernardeschi, Luca Cassano, Andrea Domenici, Luca Sterpone Accurate simulation of SEUs in the configuration memory of SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba Using partial masking in X-chains to increase output compaction for an X-canceling MISR. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of Software-Based Self-Test methods for VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2011, Vancouver, BC, Canada, October 3-5, 2011 Search on Bibsonomy DFT The full citation details ... 2011 DBLP  BibTeX  RDF
27Luca Amati, Cristiana Bolchini, Fabio Salice Optimal Test Set Selection for Fault Diagnosis Improvement. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Uljana Reinsalu, Jaan Raik, Raimund Ubar, Peeter Ellervee Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Mohammad Hossein Neishaburi, Zeljko Zilic Hierarchical Embedded Logic Analyzer for Accurate Root-Cause Analysis. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra, Alessandro Paccagnella Impact of Aging Phenomena on Soft Error Susceptibility. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Khalid Latif 0002, Amir-Mohammad Rahmani, Ethiopia Nigussie, Hannu Tenhunen, Tiberiu Seceleanu A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Mario Schölzel Fine-Grained Software-Based Self-Repair of VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Hao Chen, Jie Han 0001, Fabrizio Lombardi A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Rance Rodrigues, Israel Koren, Sandip Kundu An Architecture to Enable Life Cycle Testing in CMPs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Costas Argyrides, Ronaldo Rodrigues Ferreira, Carlos Arthur Lang Lisbôa, Luigi Carro Decimal Hamming: A Software-Implemented Technique to Cope with Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Mohammad Hossein Neishaburi, Zeljko Zilic A Fault Tolerant Hierarchical Network on Chip Router Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Behnam Ghavami, Mohsen Raji, Hossein Pedram, Omid Naghshineh Arjmand CNT-count Failure Characteristics of Carbon Nanotube FETs under Process Variations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Muhammad Aamir Khan 0002, Hans G. Kerkhoff SoC Mixed-Signal Dependability Enhancement: A Strategy from Design to End-of-Life. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Gabriel L. Nazar, Luigi Carro An Area Effective Parity-Based Fault Detection Technique for FPGAs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Xun Tang, Wu-Tung Cheng, Ruifeng Guo, Huaxing Tang, Sudhakar M. Reddy Diagnosis of Multiple Faults Based on Fault-Tuple Equivalence Tree. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Joon-Sung Yang, Rudrajit Datta Efficient Function Mapping in Nanoscale Crossbar Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Luigi Dilillo, Alberto Bosio, Miroslav Valka, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Error Resilient Infrastructure for Data Transfer in a Distributed Neutron Detector. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Tobias Koal, Daniel Scheit, Mario Schölzel, Heinrich Theodor Vierhaus On the Feasibility of Built-In Self Repair for Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Nachiket Rajderkar, Marco Ottavi, Salvatore Pontarelli, Jie Han 0001, Fabrizio Lombardi On the Effects of Intra-gate Resistive Open Defects in Gates at Nanoscaled CMOS. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Masoud Zamani, Mehdi Baradaran Tahoori Online Missing/Repeated Gate Faults Detection in Reversible Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Mohammad Hossein Neishaburi, Zeljko Zilic Debug Aware AXI-based Network Interface. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Jongho Seol, Noh-Jin Park, K. M. George, Nohpill Park Modeling Yield of Self-Healing Carbon Nanotubes/Silicon-Nanowire FET-based Nanoarray. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Chiara Sandionigi A Reliability-Aware Partitioner for Multi-FPGA Platforms. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Zahra Lak, Nicola Nicolici A New Algorithm for Post-Silicon Clock Measurement and Tuning. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Sven Eisenhardt, Anja Küster, Thomas Schweizer, Tommy Kuhn, Wolfgang Rosenstiel Spatial and Temporal Data Path Remapping for Fault-Tolerant Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Jinghang Liang, Jie Han 0001, Fabrizio Lombardi On the Reliable Performance of Sequential Adders for Soft Computing. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Paolo Roberto Grassi, Mariagiovanna Sami, Ettore Speziale, Michele Tartara Analyzing the Sensitivity to Faults of Synchronization Primitives. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Noor M. Nayeem, Jacqueline E. Rice Online Fault Detection in Reversible Logic. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Seyab Khan, Nor Zaidi Haron, Said Hamdioui, Francky Catthoor NBTI Monitoring and Design for Reliability in Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Antonio Miele An Application-Level Dependability Analysis Framework for Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Bonnie L. Gray, Vijay K. Jain Creating Defect Tolerance in Microfluidic Capacitive/Photonic Biosensors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Md. Muwyid U. Khan, Pritish Narayanan, Priyamvada Vijayakumar, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Biased Voting for Improved Yield in Nanoscale Fabrics. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Masashi Imai, Tomohiro Yoneda Duplicated Execution Method for NoC-based Multiple Processor Systems with Restricted Private Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Nivesh Rai, Hamidreza Hashempour, Yizi Xing, Bram Kruseman, Said Hamdioui A Schematic-Based Extraction Methodology for Dislocation Defects in Analog/Mixed-Signal Devices. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Javier Carretero, Jaume Abella 0001, Xavier Vera, Pedro Chaparro Control-Flow Recovery Validation Using Microarchitectural Invariants. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A New Bulk Built-In Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Rudrajit Datta, Nur A. Touba Generating Burst-Error Correcting Codes from Orthogonal Latin Square Codes - A Graph Theoretic Approach. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 4157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license