The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Luca Amati, Cristiana Bolchini, Laura Frigerio, Fabio Salice, William Eklow, Arnold Suvatne, Eugenio Brambilla, Federico Franzoso, Michele Martin An Incremental Approach to Functional Diagnosis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Hazard-Based Detection Conditions for Improved Transition Fault Coverage of Functional Test Sequences. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1David Wolpert 0001, Paul Ampadu A Sensor to Detect Normal or Reverse Temperature Dependence in Nanoscale CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yueran Gao, Haibo Wang 0005 A Reconfigurable ADC Circuit with Online-Testing Capability and Enhanced Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yehua Su, Wenjing Rao Defect-Tolerant Logic Mapping on Nanoscale Crossbar Architectures and Yield Analysis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Qiaoyan Yu, Paul Ampadu Dual-Layer Cooperative Error Control for Reliable Nanoscale Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jianwei Dai, Lei Wang 0003 A Study of Side-Channel Effects in Reliability-Enhancing Techniques. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Takumi Hoshi, Kazuteru Namba, Hideo Ito Testing of Switch Blocks in Three-Dimensional FPGA. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stelios Neophytou, Maria K. Michael, Kyriakos Christou Generating Diverse Test Sets for Multiple Fault Detections Based on Fault Cone Partitioning. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Aaron Dingler, M. Jafar Siddiq, Michael T. Niemier, Xiaobo Sharon Hu, M. Tanvir Alam, Gary H. Bernstein, Wolfgang Porod Controlling Magnetic Circuits: How Clock Structure Implementation will Impact Logical Correctness and Power. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Novel High Speed Robust Latch. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Syed Zafar Shazli, Mehdi Baradaran Tahoori Transient Error Detection and Recovery in Processor Pipelines. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Adit D. Singh A Defect Tolerant and Performance Tunable Gate Architecture for End-of-Roadmap CMOS. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Improving the Detectability of Resistive Open Faults in Scan Cells. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue Reliability and Performance Analysis of FPGA-Based Fault Tolerant System. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici Optimization of Nanoelectronic Systems Reliability Under Massive Defect Density Using Distributed R-fold Modular Redundancy (DRMR). Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yuu Maeda, Haruhiko Kaneko Error Control Coding for Multilevel Cell Flash Memories Using Nonbinary Low-Density Parity-Check Codes. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Martin Omaña 0001, Marcin Marzencki, Roberto Specchia, Cecilia Metra, Bozena Kaminska Concurrent Detection of Faults Affecting Energy Harvesting Circuits of Self-Powered Wearable Sensors. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yu Liu, Kaijie Wu 0001 An ILP formulation to Unify Power Efficiency and Fault Detection at Register-Transfer Level. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Souheib Baarir, Cécile Braunstein, Renaud Clavel, Emmanuelle Encrenaz, Jean-Michel Ilié, Régis Leveugle, Isabelle Mounier, Laurence Pierre, Denis Poitrenaud Complementary Formal Approaches for Dependability Analysis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, Foster F. Dai, Victor P. Nelson Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jenny Leung, Glenn H. Chapman, Israel Koren, Zahava Koren Characterization of Gain Enhanced In-Field Defects in Digital Imagers. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano Error Correction Codes for SEU and SEFI Tolerant Memory Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Mark Hampton, Florian Letombe On the Functional Qualification of a Platform Model. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bo Fu, Paul Ampadu Burst Error Detection Hybrid ARQ with Crosstalk-Delay Reduction for Reliable On-chip Interconnects. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dimitris Gizopoulos, Susumu Horiguchi, Spyros Tragoudas, Mohammad Tehranipoor (eds.) 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2009, Chicago, Illinois, USA, October 7-9, 2009 Search on Bibsonomy DFT The full citation details ... 2009 DBLP  BibTeX  RDF
1Hyoung-Kook Kim, Wen-Ben Jone, Laung-Terng Wang Analysis of Resistive Open Defects in a Synchronizer. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Fabrizio Castro, Antonio Miele A Fault Analysis and Classifier Framework for Reliability-Aware SRAM-Based FPGA Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nader Alawadhi, Ozgur Sinanoglu Improving the Effectiveness of XOR-based Decompressors through Horizontal/Vertical Move of Stimulus Fragments. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Unni Chandran, Dan Zhao Thermal Driven Test Access Routing in Hyper-interconnected Three-Dimensional System-on-Chip. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Improving Memory Repair by Selective Row Partitioning. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sandeep P. Kumar Low DPM: Why Do We Need it and What Does it Cost! Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Meng Zhang 0017, Anita Lungu, Daniel J. Sorin Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nor Zaidi Haron, Said Hamdioui Using RRNS Codes for Cluster Faults Tolerance in Hybrid Memories. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yusuke Fukushima, Masaru Fukushi, Susumu Horiguchi Fault-Tolerant Routing Algorithm for Network on Chip without Virtual Channels. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hans-Joachim Wunderlich Software-Based Hardware Fault Tolerance for Many-Core Architectures. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yiorgos Makris Workload-Cognizant Impact Analysis and its Applications in Error Detection and Tolerance in Modern Microprocessors. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Joon-Sung Yang, Benoit Nadeau-Dostie, Nur A. Touba Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michael Campbell The Future of Test - Product Integration and its Impact on Test. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bradley F. Dutton, Charles E. Stroud Soft Core Embedded Processor Based Built-In Self-Test of FPGAs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mingjing Chen, Alex Orailoglu Flip-Flop Hardening and Selection for Soft Error and Delay Fault Resilience. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stefano Di Carlo, Nadereh Hatami, Paolo Prinetto, Alessandro Savino System Level Testing via TLM 2.0 Debug Transport Interface. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi Coded DNA Self-Assembly for Error Detection/Location. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rui Gong, Kui Dai, Zhiying Wang 0003 A Framework to Evaluate the Trade-off among AVF Performance and Area of Soft Error Tolerant Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Manoj Kumar Goparaju, Ashok Kumar Palaniswamy, Spyros Tragoudas A Fault Tolerance Aware Synthesis Methodology for Threshold Logic Gate Networks. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yukiya Miura, Jiro Kato Diagnosis of Analog Circuits by Using Multiple Transistors and Data Sampling. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jae-Young Choi, Yoon-Hwa Choi Fault Detection of Bloom Filters for Defect Maps. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele Design Space Exploration for the Design of Reliable. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Sudhakar M. Reddy, Irith Pomeranz, Xun Tang, Bernd Becker 0001 On Reducing Circuit Malfunctions Caused by Soft Errors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Rajsekhar Adapa, Spyros Tragoudas Prioritization of Paths for Diagnosis. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1David Wolpert 0001, Paul Ampadu A Low-Power Safety Mode for Variation Tolerant Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nimay Shah, Rupak Samanta, Ming Zhang, Jiang Hu, Duncan Walker Built-In Proactive Tuning System for Circuit Aging Resilience. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hamed Tabkhi, Seyed Ghassem Miremadi, Alireza Ejlali An Asymmetric Checkpointing and Rollback Error Recovery Scheme for Embedded Processors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Vikas Chandra, Robert C. Aitken Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Osnat Keren, Ilya Levin, Vladimir Ostrovsky, Beni Abramov Arbitrary Error Detection in Combinational Circuits by Using Partitioning. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Abhisek Pan, James W. Tschanz, Sandip Kundu A Low Cost Scheme for Reducing Silent Data Corruption in Large Arithmetic Circuit. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kazuteru Namba, Hideo Ito Delay Fault Testability on Two-Rail Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Davide Quaglia, Francesco Stefanni Network Fault Model for Dependability Assessment of Networked Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Material Fatigue and Reliability of MEMS Accelerometers. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kevin Sliech, Martin Margala A Digital BIST for Phase-Locked Loops. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Francesco Abate, Massimo Violante Coping with Obsolescence of Processor Cores in Critical Applications. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michail Maniatakos, Naghmeh Karimi, Yiorgos Makris, Abhijit Jas, Chandra Tirumurti Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nilanjan Mukherjee 0001 Targeting "Zero DPPM" - Can we ever get there? Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Laura Frigerio, Matteo Alan Radaelli, Fabio Salice A Generalized Approach for the Use of Convolutional Coding in SEU Mitigation. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Zahi S. Abuhamdeh A Case Study of ATPG Delay Path Performance Based on Measured Power Rail Integrity. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano A Novel Error Detection and Correction Technique for RNS Based FIR Filters. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Carlos Arthur Lang Lisbôa, Luigi Carro XOR-based Low Cost Checkers for Combinational Logic. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yoshiaki Asao, Masayoshi Iwayama, Kenji Tsuchida, Akihiro Nitayama, Hiroaki Yoda, Hisanori Aikawa, Sumio Ikegawa, Tatsuya Kishi A Statistical Model for Assessing the Fault Tolerance of Variable Switching Currents for a 1Gb Spin Transfer Torque Magnetoresistive Random Access Memory. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Prashant D. Joshi Error Detect Logic Resulting in Faster Address Generate and Decode for Caches. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Yong-Bin Kim, Dimitris Gizopoulos, Mohammad Tehranipoor (eds.) 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA Search on Bibsonomy DFT The full citation details ... 2008 DBLP  BibTeX  RDF
1Francesco Regazzoni 0001, Thomas Eisenbarth 0001, Luca Breveglieri, Paolo Ienne, Israel Koren Can Knowledge Regarding the Presence of Countermeasures Against Fault Attacks Simplify Power Attacks on Cryptographic Devices?. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shuangyu Ruan, Kazuteru Namba, Hideo Ito Soft Error Hardened FF Capable of Detecting Wide Error Pulse. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xiaoxiao Wang 0001, Hassan Salmani, Mohammad Tehranipoor, James F. Plusquellic Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kamran Zarrineh Design for Test Challenges of High Performance/Low Power Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Cecilia Metra, Martin Omaña 0001, T. M. Mak, Asifur Rahman, Simon Tam 0001 Novel On-Chip Clock Jitter Measurement Scheme for High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Stephen Frechette, Yong-Bin Kim, Fabrizio Lombardi Checkpointing of Rectilinear Growth in DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Saurabh Jain, W. Robert Daasch, David Armbrust Analyzing the Impact of Fault Tolerant BIST for VLSI Design. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Muhammad Ibrahim, Ahsan Raja Chowdhury, Hafiz Md. Hasan Babu Minimization of CTS of k-CNOT Circuits for SSF and MSF Model. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hyunbean Yi, Sandip Kundu Core Test Wrapper Design to Reduce Test Application Time for Modular SoC Testing. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Timothy J. Dysart, Peter M. Kogge System Reliabilities When Using Triple Modular Redundancy in Quantum-Dot Cellular Automata. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jenny Leung, Glenn H. Chapman, Israel Koren, Zahava Koren Automatic Detection of In-field eld Defect Growth in Image Sensors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Joon-Sung Yang, Nur A. Touba Enhancing Silicon Debug via Periodic Monitoring. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michael T. Niemier, Michael Crocker, Xiaobo Sharon Hu Fabrication Variations and Defect Tolerance for Nanomagnet-Based QCA. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Julien Vial, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Using TMR Architectures for Yield Improvement. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Konstantin Likharev Defect-Tolerant Hybrid CMOS/Nanoelectronic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Wenjing Rao Selective Hardening of NanoPLA Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mahdi Fazeli, Seyed Ghassem Miremadi A Power Efficient Masking Technique for Design of Robust Embedded Systems against SEUs and SET. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi A Tile-Based Error Model for Forward Growth of DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Santiago Remersaro, Janusz Rajski, Thomas Rinderknecht, Sudhakar M. Reddy, Irith Pomeranz ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1John E. Savage Computing at the Nanoscale. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nilanjan Banerjee, Charles Augustine, Kaushik Roy 0001 Fault-Tolerance with Graceful Degradation in Quality: A Design Methodology and Its Application to Digital Signal Processing Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Zachary D. Patitz, Nohpill Park Modeling and Evaluation of Threshold Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Qiaoyan Yu, Paul Ampadu Adaptive Error Control for NoC Switch-to-Switch Links in a Variable Noise Environment. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shubu Mukherjee Architectural Vulnerability Factor (or, does a soft error matter?). Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xiaojun Ma, Fabrizio Lombardi Fault Tolerant Schemes for QCA Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yiwen Shi, Kellie DiPalma, Jennifer Dworak Efficient Determination of Fault Criticality for Manufacturing Test Set Optimization. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license