The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVFS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Nicolas Triquenaux, Alexandre Laurent, Benoît Pradelle, Jean Christophe Beyler, William Jalby Automatic estimation of DVFS potential. Search on Bibsonomy IGCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xinxin Mei, Ling Sing Yung, Kaiyong Zhao, Xiaowen Chu 0001 A measurement study of GPU DVFS on energy conservation. Search on Bibsonomy HotPower@SOSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Qingyang Wang 0001, Yasuhiko Kanemasa, Jack Li 0001, Chien-An Lai, Masazumi Matsubara, Calton Pu Impact of DVFS on n-tier application performance. Search on Bibsonomy TRIOS@SOSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Khaled Baati, Michel Auguin Temperature-aware DVFS-DPM for real-time applications under variable ambient temperature. Search on Bibsonomy SIES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Muhammad Ali Awan, Stefan M. Petters On the equivalence of idealised DVFS and thermally constrained DPM in real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jihyeok Yun, Doug Young Suh Approximated relative complexity modeling for DVFS over mobile video service. Search on Bibsonomy ICTC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gang Chen 0023, Kai Huang 0001, Alois C. Knoll Abstract: Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combination. Search on Bibsonomy ESTIMedia The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ping-Sheng Lin, Yi-Jung Chen, Chia-Lin Yang, Yi-Chang Lu Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Weicheng Huai, Zhuzhong Qian, Xin Li 0017, Sanglu Lu Towards Energy Efficient Data Centers: A DVFS-Based Request Scheduling Perspective. Search on Bibsonomy IMIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Toshiya Komoda, Shingo Hayashi, Takashi Nakada, Shinobu Miwa, Hiroshi Nakamura Power capping of CPU-GPU heterogeneous systems through coordinating DVFS and task mapping. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Morteza Damavandpeyma, Sander Stuijk, Twan Basten, Marc Geilen, Henk Corporaal Throughput-constrained DVFS for scenario-aware dataflow graphs. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Eyal-Itzhak Nave, Ran Ginosar PBD: packet buffer DVFs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jacob Murray, Rajath Hegde, Teng Lu, Partha Pratim Pande, Behrooz A. Shirazi Sustainable dual-level DVFS-enabled NoC with on-chip wireless links. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ching-Che Chung, Duo Sheng, Wei-Siang Su A 0.5V/1.0V fast lock-in ADPLL for DVFS battery-powered devices. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Edward Y. Y. Kan Energy Efficiency in Testing and Regression Testing - A Comparison of DVFS Techniques. Search on Bibsonomy QSIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jean-Philippe Halimi, Benoît Pradelle, Amina Guermouche, Nicolas Triquenaux, Alexandre Laurent, Jean Christophe Beyler, William Jalby Reactive DVFS Control for Multicore Processors. Search on Bibsonomy GreenCom/iThings/CPScom The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Daniel Hagimont, Christine Mayap Kamga, Laurent Broto, Alain Tchana, Noel De Palma DVFS Aware CPU Credit Enforcement in a Virtualized System. Search on Bibsonomy Middleware The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Wonyoung Kim, David M. Brooks, Gu-Yeon Wei A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Pedro Alonso 0002, Manuel F. Dolz, Francisco D. Igual, Rafael Mayo 0002, Enrique S. Quintana-Ortí DVFS-control techniques for dense linear algebra operations on multi-core processors. Search on Bibsonomy Comput. Sci. Res. Dev. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Youngbin Seo, Jeongki Kim, Euiseong Seo Effectiveness Analysis of DVFS and DPM in Mobile Devices. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jungseob Lee, Nam Sung Kim Analyzing Potential Throughput Improvement of Power- and Thermal-Constrained Multicore Processors by Exploiting DVFS and PCPG. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Vinay Hanumaiah, Sarma B. K. Vrudhula Temperature-Aware DVFS for Hard Real-Time Applications on Multicore Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Maja Etinski, Julita Corbalán, Jesús Labarta, Mateo Valero Understanding the future of energy-performance trade-off via DVFS in HPC environments. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yoon Seok Yang, Gwan Choi Unequal Error Protection Based on DVFS for JSCD in Low-Power Portable Multimedia Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Nikzad Babaii Rizvandi, Javid Taheri, Albert Y. Zomaya Some Observations on Optimal Frequency Selection in DVFS-based Energy Consumption Minimization Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Nikzad Babaii Rizvandi, Albert Y. Zomaya, Young Choon Lee, Ali Javadzadeh Boloori, Javid Taheri Multiple Frequency Selection in DVFS-Enabled Processors to Minimize Energy Consumption Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Ramon Bertran, Yolanda Becerra 0001, David Carrera 0001, Vicenç Beltran 0001, Marc González 0001, Xavier Martorell, Nacho Navarro, Jordi Torres, Eduard Ayguadé Energy accounting for shared virtualized environments under DVFS using PMC-based power models. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Farshad Firouzi, Ali Azarpeyvand, Mostafa E. Salehi, Sied Mehdi Fakhraie Adaptive fault-tolerant DVFS with dynamic online AVF prediction. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Meikang Qiu, Zhong Ming 0001, Jiayin Li, Shaobo Liu, Bin Wang 0002, Zhonghai Lu Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xi Chen, Zheng Xu 0006, Hyungjun Kim, Paul Gratz, Jiang Hu, Michael Kishinevsky, Ümit Y. Ogras In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches. Search on Bibsonomy NOCS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ghaith Tarawneh, Alex Yakovlev Adaptive Synchronization for DVFS Applications. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Eyal-Itzhak Nave, Ran Ginosar TCP Window Based DVFS for Low Power Network Controller SoC. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Bin Wu, Peng Li 0001 Load-aware stochastic feedback control for DVFS with tight performance guarantee. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, Ricardo Bianchini CoScale: Coordinating CPU and Memory System DVFS in Server Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Rustam Miftakhutdinov, Eiman Ebrahimi, Yale N. Patt Predicting Performance Impact of DVFS for Realistic Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Waltenegus Dargie Analysis of the Power Consumption of a Multimedia Server under Different DVFS Policies. Search on Bibsonomy IEEE CLOUD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Manoj Kumar Yadav, Mario R. Casu, Maurizio Zamboni DVFS Based on Voltage Dithering and Clock Scheduling for GALS Systems. Search on Bibsonomy ASYNC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Abel Guilhermino Silva-Filho, Pamela Thays L. Bezerra, Fabio Q. B. da Silva, Antonio L. O. C. Junior, André L. M. Santos, Paulo H. R. Costa, Regina C. G. Miranda Energy-Aware Technology-Based DVFS Mechanism for the Android Operating System. Search on Bibsonomy SBESC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ryan Child, Philip A. Wilsey Using DVFS to optimize time warp simulations. Search on Bibsonomy WSC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Barry Rountree, Dong H. Ahn, Bronis R. de Supinski, David K. Lowenthal, Martin Schulz 0001 Beyond DVFS: A First Look at Performance under a Hardware-Enforced Power Bound. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sonal Saha, Binoy Ravindran An experimental evaluation of real-time DVFS scheduling algorithms. Search on Bibsonomy SYSTOR The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Carolina Albea, Diego Puschini, Suzanne Lesecq, Yeter Akgul Advanced coupled voltage-frequency control for power efficient DVFS management. Search on Bibsonomy IECON The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Longxiang Chen, Panruo Wu, Zizhong Chen, Rong Ge 0002, Ziliang Zong Energy Efficient Parallel Matrix-Matrix Multiplication for DVFS-enabled Clusters. Search on Bibsonomy ICPP Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, Ricardo Bianchini MultiScale: memory system DVFS with multiple memory controllers. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sebastian Höppner, Chenming Shao, Holger Eisenreich, Georg Ellguth, Mario Ander, René Schüffny A power management architecture for fast per-core DVFS in heterogeneous MPSoCs. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jacob Murray, Partha Pratim Pande, Behrooz A. Shirazi DVFS-enabled sustainable wireless NoC architecture. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Christine Mayap Kamga CPU Frequency Emulation Based on DVFS. Search on Bibsonomy UCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Atsuki Inoue Comparison between power gating and DVFS from the viewpoint of energy efficiency. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Tong Xu 0004, Peng Li 0001 Design and optimization of power gating for DVFS applications. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hao Shen, Jun Lu, Qinru Qiu Learning based DVFS for simultaneous temperature, performance and energy management. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Woojin Yun, Jongpil Jung, Kyungsu Kang, Chong-Min Kyung Temperature-aware energy minimization of 3D-stacked L2 DRAM cache through DVFS. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Shin Gyu Kim, Chanho Choi, Hyeonsang Eom, Heon Young Yeom, Huichung Byun Energy-Centric DVFS Controling Method for Multi-core Platforms. Search on Bibsonomy SC Companion The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jabran Khan, Sébastien Bilavarn, Cécile Belleudy Impact of operating points on DVFS power management. Search on Bibsonomy DTIS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Gangyong Jia, Xuhong Gao, Xi Li 0003, Chao Wang 0003, Xuehai Zhou DTS: Using Dynamic Time-Slice Scaling to Address the OS Problem Incurred by DVFS. Search on Bibsonomy CLUSTER Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Maja Etinski DVFS power management in HPC systems. Search on Bibsonomy 2012   RDF
17Praveen Salihundam, Shailendra Jain, Tiju Jacob, Shasi Kumar, Vasantha Erraguntla, Yatin Vasant Hoskote, Sriram R. Vangal, Gregory Ruhl, Nitin Borkar A 2 Tb/s 6 , ˟, 4 Mesh Network for a Single-Chip Cloud Computer With DVFS in 45 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jason Howard, Saurabh Dighe, Sriram R. Vangal, Gregory Ruhl, Nitin Borkar, Shailendra Jain, Vasantha Erraguntla, Michael Konow, Michael Riepen, Matthias Gries, Guido Droege, Tor Lund-Larsen, Sebastian Steibl, Shekhar Borkar, Vivek K. De, Rob F. Van der Wijngaart A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Muhammad Khurram Bhatti, Cécile Belleudy, Michel Auguin Hybrid power management in real time embedded systems: an interplay of DVFS and DPM techniques. Search on Bibsonomy Real Time Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Eduardo Quiñones, Jaume Abella 0001, Francisco J. Cazorla, Mateo Valero Exploiting intra-task slack time of load operations for DVFS in hard real-time multi-core systems. Search on Bibsonomy SIGBED Rev. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Wan Yeon Lee, Hyogon Kim, Heejo Lee Minimum-Energy Semi-Static Scheduling of a Periodic Real-Time Task on DVFS-Enabled Multi-Core Processors. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nikzad Babaii Rizvandi, Javid Taheri, Albert Y. Zomaya Some observations on optimal frequency selection in DVFS-based energy consumption minimization. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Vinay Hanumaiah, Sarma B. K. Vrudhula, Karam S. Chatha Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Stijn Eyerman, Lieven Eeckhout Fine-grained DVFS using on-chip regulators. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Francesco Zanini, David Atienza, Giovanni De Micheli Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Arun Rangasamy, Y. N. Srikant Petri net based performance modeling for effective DVFS for multithreaded programs. Search on Bibsonomy SAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17T. Kolpe, Antonia Zhai, Sachin S. Sapatnekar Enabling improved power management in multicore processors through clustered DVFS. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ryan Cochran, Can Hankendi, Ayse K. Coskun, Sherief Reda Pack & Cap: adaptive DVFS and thread packing under power caps. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Seungho Jeong, Heejune Ahn Optimal power reduction based on DVFS algorithm for video decoders. Search on Bibsonomy RACS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Andreas Genser, Christian Bachmann, Christian Steger, Reinhold Weiss, Josef Haid Supply voltage emulation platform for DVFS voltage drop compensation explorations. Search on Bibsonomy ISPASS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jean-Marc Pierson, Henri Casanova On the Utility of DVFS for Power-Aware Job Placement in Clusters. Search on Bibsonomy Euro-Par (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Vasileios Spiliopoulos, Stefanos Kaxiras, Georgios Keramidas Green governors: A framework for Continuously Adaptive DVFS. Search on Bibsonomy IGCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Roberto Airoldi, Fabio Garzia, Jari Nurmi Improving Reconfigurable Hardware Energy Efficiency and Robustness via DVFS-Scaled Homogeneous MP-SoC. Search on Bibsonomy IPDPS Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Vasileios Spiliopoulos, Georgios Keramidas, Stefanos Kaxiras, Konstantinos Efstathiou 0002 Poster: DVFS management in real-processors. Search on Bibsonomy ICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Clay Hughes, Tao Li 0006 Optimizing throughput/power trade-offs in hardware transactional memory using DVFS and intelligent scheduling. Search on Bibsonomy ICS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
17Sang Phill Park, Soo Youn Kim, Dongsoo Lee, Jae-Joon Kim, W. Paul Griffin, Kaushik Roy 0001 Column-selection-enabled 8T SRAM array with ~1R/1W multi-port operation for DVFS-enabled processors. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
17Hyeong Seog Kim, Frank Yong-Kyung Oh, Hyeonsang Eom, Heon Young Yeom Modeling System Power Consumption Considering DVFS and Thermal Effect. Search on Bibsonomy ICSOFT (1) The full citation details ... 2011 DBLP  BibTeX  RDF
17Wen-Tsan Hsieh, Jen-Chieh Yeh, Shih-Che Lin, Hsing-Chuang Liu, Yi-Siou Chen System power analysis with DVFS on ESL virtual platform. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Tomohiro Tatematsu, Hideki Takase, Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada Checkpoint Extraction Using Execution Traces for Intra-task DVFS in Embedded Systems. Search on Bibsonomy DELTA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniel Guimaraes do Lago, Edmundo R. M. Madeira, Luiz Fernando Bittencourt Power-aware virtual machine scheduling on clouds using active cooling control and DVFS. Search on Bibsonomy MGC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chun-Yen Tseng, Li-Wen Wang, Po-Chiun Huang An Integrated Linear Regulator With Fast Output Voltage Transition for Dual-Supply SRAMs in DVFS Systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Masafumi Onouchi, Yusuke Kanno, Makoto Saen, Shigenobu Komatsu, Yoshihiko Yasu, Koichiro Ishibashi A Low-Power Wide-Range Clock Synchronizer With Predictive-Delay-Adjustment Scheme for Continuous Voltage Scaling in DVFS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Shuyi Chen, Kaustubh R. Joshi, Matti A. Hiltunen, Richard D. Schlichting, William H. Sanders Blackbox prediction of the impact of DVFS on end-to-end performance of multitier systems. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Stijn Eyerman, Lieven Eeckhout A Counter Architecture for Online DVFS Profitability Estimation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Kyungsu Kang, Jungsoo Kim, Sungjoo Yoo, Chong-Min Kyung Temperature-Aware Integrated DVFS and Power Gating for Executing Tasks With Runtime Distribution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Pascal Vivet, Edith Beigné, Hugo Lebreton, Nacer-Eddine Zergainoh On Line Power Optimization of Data Flow Multi-core Architecture Based on Vdd-Hopping for Local DVFS. Search on Bibsonomy PATMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17A. Ravinagarajan, Denis Dondi, Tajana Simunic Rosing DVFS based task scheduling in a harvesting WSN for Structural Health Monitoring. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Andrea Castagnetti, Cécile Belleudy, Sébastien Bilavarn, Michel Auguin Power Consumption Modeling for DVFS Exploitation. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Shau-Yin Tseng, Kuo-Hung Lin, Wen-Shan Wang, Chung-Ta King, Shih-Hsueh Chang Performance and Power Consumption Analysis of DVFS-Enabled H.264 Decoder on Heterogeneous Multi-Core Platform. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded SoC, DSP, multicore, power consumption, H.264/AVC
17Shaobo Liu, Meikang Qiu, Wenzhong Gao, Xiao-jun Tang, Bin Guo Hybrid of Job Sequencing and DVFS for Peak Temperature Reduction with Nondeterministic Applications. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF peak temperature, nondeterministic, embedded systems, Thermal management
17Duan Wei, Fan Qi Fei, Huang Kun, Zhang Ge VB-DVFS: A new algorithm for power efficiency of CMP with GALS. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Chih-Chi Cheng, Yi-Min Tsai, Liang-Gee Chen, Anantha P. Chandrakasan A 0.077 to 0.168 nJ/bit/iteration scalable 3GPP LTE turbo decoder with an adaptive sub-block parallel scheme and an embedded DVFS engine. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Nikzad Babaii Rizvandi, Javid Taheri, Albert Y. Zomaya, Young Choon Lee Linear Combinations of DVFS-Enabled Processor Frequencies to Modify the Energy-Aware Scheduling Algorithms. Search on Bibsonomy CCGRID The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Lizhe Wang 0001, Gregor von Laszewski, Jai Dayal, Fugang Wang Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS. Search on Bibsonomy CCGRID The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jason Howard, Saurabh Dighe, Yatin Vasant Hoskote, Sriram R. Vangal, David Finan, Gregory Ruhl, David Jenkins, Howard Wilson, Nitin Borkar, Gerhard Schrom, Fabric Pailet, Shailendra Jain, Tiju Jacob, Satish Yada, Sraven Marella, Praveen Salihundam, Vasantha Erraguntla, Michael Konow, Michael Riepen, Guido Droege, Joerg Lindemann, Matthias Gries, Thomas Apel, Kersten Henriss, Tor Lund-Larsen, Sebastian Steibl, Shekhar Borkar, Vivek De, Rob F. Van der Wijngaart, Timothy G. Mattson A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Benedikt Dietrich, Swaroop Nunna, Dip Goswami, Samarjit Chakraborty, Matthias Gries LMS-based low-complexity game workload prediction for DVFS. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Pritesh Vora, Masud H. Choudhary Prospects and implementation of Non-DVFS dynamic thermal management techniques. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFS. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Liang Guang, Ethiopia Nigussie, Hannu Tenhunen Run-time communication bypassing for energy-efficient, low-latency per-core DVFS on Network-on-Chip. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Muhammad Khurram Bhatti, Cécile Belleudy, Michel Auguin An inter-task real time DVFS scheme for multiprocessor embedded systems. Search on Bibsonomy DASIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license