The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-2001 (18) 2002 (18) 2003 (29) 2004 (53) 2005 (67) 2006 (72) 2007 (81) 2008 (59) 2009 (41) 2010 (17) 2011 (15) 2012 (19) 2013-2014 (24) 2015-2016 (16) 2017-2019 (18) 2020-2021 (24) 2022-2023 (25) 2024 (2)
Publication types (Num. hits)
article(143) incollection(2) inproceedings(451) phdthesis(1) proceedings(1)
Venues (Conferences, Journals, ...)
ISLPED(33) DATE(25) DAC(18) CoRR(16) ICCAD(14) RTSS(14) ASP-DAC(13) ISCAS(13) ACM Trans. Embed. Comput. Syst...(10) IEEE J. Solid State Circuits(10) IEEE Trans. Comput. Aided Des....(10) EMSOFT(9) IPDPS(9) CASES(8) EUC(8) ICESS(8) More (+10 of total 227)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 549 occurrences of 219 keywords

Results
Found 598 publication records. Showing 598 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Wilfried Köhler Unterstützung des Verwaltungsvollzugs durch ein Verbundsystem erlätert am Datenvermittlungssystem Nordrhein-Westfalen (DVS). Search on Bibsonomy Organisation informationstechnik-gestützter öffentlicher Verwaltung The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
19Jian-Jia Chen, Tei-Wei Kuo, Chi-Sheng Shih 0001 (1+epsion) approximation clock rate assignment for periodic real-time tasks on a voltage-scaling processor. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DVS scheduling, energy consumption minimization, real-time systems, energy-efficient scheduling
19Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stochastic gradient search, scheduling, optimization, power management, dynamic voltage scaling (DVS), heterogeneous systems, multi-processor systems
10Jian (Denny) Lin, Wei Song, Albert Mo Kim Cheng Real-energy: a new framework and a case study to evaluate power-aware real-time scheduling algorithms. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, power-aware scheduling algorithms, dynamic voltage scaling, real-time and embedded systems
10Tongquan Wei, Xiaodao Chen, Piyush Mishra Designing a multi-core hard real-time test bed for energy measurement experiments. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Alejandro P. Buchmann Surviving the Glut: The Management of Event Streams in Cyberphysical Systems. Search on Bibsonomy OTM Conferences (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Dongsong Zhang, Shiyao Jin, Tong Wu, Huawei Li Feedback-Based Energy-aware Scheduling Algorithm for Hard Real-time Tasks. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Ahmed Abousamra, Rami G. Melhem, Daniel Mossé Minimizing expected energy consumption for streaming applications with linear dependencies on chip multiprocessors. Search on Bibsonomy SIES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10A. Elyada, Ran Ginosar, Uri C. Weiser Low-Complexity Policies for Energy-Performance Tradeoff in Chip-Multi-Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Shengqi Yang, Wenping Wang, Tiehan Lv, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Case Study of Reliability-Aware and Low-Power Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10G. Sudha Anil Kumar, Govindarasu Manimaran, Zhengdao Wang End-to-End Energy Management in Networked Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Xiliang Zhong, Cheng-Zhong Xu 0001 System-wide energy minimization for real-time tasks: Lower bound and approximation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-Time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling
10Smriti Agrawal, Rama Shankar Yadav, Ranvijay A Preemption Control Technique for System Energy Minimization of Weakly Hard Real-Time Systems. Search on Bibsonomy Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamic power down, (m, k) model, Scheduling, Dynamic voltage scaling, Portable devices
10Tibor Horvath, Kevin Skadron Multi-mode energy management for multi-tier server clusters. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable clusters, sleep states, dynamic voltage scaling, energy management, dynamic power management, multi-tier applications, internet servers, end-to-end latency
10Jaeyeon Kang, Sanjay Ranka Dynamic Algorithms for Energy Minimization on Parallel Machines. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jaeyeon Kang, Sanjay Ranka Energy-Efficient Dynamic Scheduling on Parallel Machines. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chien-Wei Chen, Chuan-Yue Yang, Tei-Wei Kuo, Ming-Wei Chang Energy-Efficient Real-Time Co-scheduling of Multimedia DSP Jobs. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Preemption Control, System-Wide Energy Efficiency, Real-Time Systems, Energy-Efficient Scheduling
10Yaling Zhang, Jing Zhang, Yikun Zhang Multi-signers Strong Designated Verifier Signature Scheme. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-signers, Designated Verifier Signature
10Vinay Devadas, Hakan Aydin Real-Time Dynamic Power Management through Device Forbidden Regions. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamic Voltage Scaling, Real-Time Scheduling, Energy Management, Dynamic Power Management, Periodic Task Scheduling
10Janakiraman Viraraghavan, Bishnu Prasad Das, Bharadwaj Amrutur Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yuan Tian 0020, Eylem Ekici Cross-Layer Collaborative In-Network Processing in Multihop Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF task mapping and scheduling, Wireless sensor network, cross-layer, multihop, in-network processing
10Haisang Wu, Binoy Ravindran, E. Douglas Jensen Utility Accrual Real-Time Scheduling Under the Unimodal Arbitrary Arrival Model with Energy Bounds. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Real-time systems, energy-efficient scheduling, time/utility functions, utility accrual scheduling
10XiaoChuan He, Yan Jia 0001 Energy-Efficient Scheduling Fixed-Priority Tasks with Preemption Thresholds on Variable Voltage Processors. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Linwei Niu, Gang Quan Interactive presentation: Peripheral-conscious scheduling on energy minimization for weakly hard real-time systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yu-Hang Tsai, Kuochen Wang, Jheng-Ming Chen A deferred-workload-based inter-task dynamic voltage scaling algorithm for portable multimedia devices. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF actual workload, deferred-workload-based, inter-task dynamic voltage scaling, worst-case execution time, hard real-time system, slack time
10Kentaro Kawakami, Mitsuhiko Kuroda, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto Power and Memory Bandwidth Reduction of an H.264/AVC HDTV Decoder LSI with Elastic Pipeline Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jian-Jia Chen, Kazuo Iwama, Tei-Wei Kuo, Hsueh-I Lu Flow Time Minimization under Energy Constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhigang Gao, Zhaohui Wu 0001, Man Lin Energy-Efficient Fixed-Priority Scheduling for Periodic Real-Time Tasks with Multi-priority Subtasks. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Dennis M. Moen, J. Mark Pullen Private overlay multicast for the defense threat reduction agency collaboration center (DCC). Search on Bibsonomy SpringSim (3) The full citation details ... 2007 DBLP  BibTeX  RDF collaborative networking environments, distributed simulation, overlay multicast
10Eduardo Tavares, Paulo Romero Martins Maciel, Bruno Silva 0001, Meuse N. Oliveira Jr. A time petri net-based approach for hard real-time systems scheduling considering dynamic voltage scaling, overheads, precedence and exclusion relations. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF petri nets, dynamic voltage scaling, formal models, hard real-time systems
10Ruibin Xu, Rami G. Melhem, Daniel Mossé Energy-Aware Scheduling for Streaming Applications on Chip Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10G. Sudha Anil Kumar, G. Manimaran Energy-Aware Scheduling of Real-Time Tasks in Wireless Networked Embedded Systems. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ma Xing, Hexin Chen, Zhao Yan Content-Based 4D Matrix DCT Coding Algorithm for Stereo Video with Adaptive Windows Disparity Estimation. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhe Ma, Daniele Paolo Scarpazza, Francky Catthoor Run-time Task Overlapping on Multiprocessor Platforms. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Parth Malani, Prakash Mukre, Qinru Qiu Profile-Based Low Power Scheduling for Conditional Task Graph: A Communication Aware Approach. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Mohammad Hossein Neishaburi, Masoud Daneshtalab, Majid Nabi, Siamak Mohammadi System Level Voltage Scheduling Technique Using UML-RT Model. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Akepati Sravan, Sujan Kundu, Ajit Pal Low Power Sensor Node for a Wireless Sensor Network. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Changjiu Xian, Yung-Hsiang Lu, Zhiyuan Li 0001 Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Huizhan Yi, Xuejun Yang Toward the Optimal Configuration of Dynamic Voltage Scaling Points in Real-Time Applications. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF languages and compilers, low-power design, energy-aware systems
10Ravindra Jejurikar, Rajesh Gupta 0001 Optimized Slowdown in Real-Time Task Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF slowdown factors, real-time systems, dynamic voltage scaling, convex optimization, EDF scheduling, low power scheduling
10Haisang Wu, Binoy Ravindran, E. Douglas Jensen On bounding energy consumption in dynamic, embedded real-time systems. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zhongwen Li, Hong Chen, Shui Yu Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Malcolm Mandviwalla, Nian-Feng Tzeng Energy-Efficient Scheme for Multiprocessor-Based Router Linecards. Search on Bibsonomy SAINT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF linecards, multiprocessors, Dynamic voltage scaling, energy consumption, routers
10Xiliang Zhong, Cheng-Zhong Xu 0001 System-wide energy minimization for real-time tasks: lower bound and approximation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Lin Yuan, Sean Leventhal, Gang Qu 0001 Temperature-aware leakage minimization technique for real-time systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yuan Tian 0020, Yaoyao Gu, Eylem Ekici, Füsun Özgüner Dynamic Critical-Path Task Mapping and Scheduling for Collaborative In-Network Processing in Multi-Hop Wireless Sensor Networks. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Cosmin Rusu, Alexandre Peixoto Ferreira, Claudio Scordino, Aaron Watson Energy-Efficient Real-Time Heterogeneous Server Clusters. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jian-Jia Chen, Heng-Ruey Hsu, Tei-Wei Kuo Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Leakage-aware scheduling, Real-time and embedded systems and Task partitioning
10Dongsheng Ma Automatic substrate switching circuit for on-chip adaptive power supply system. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mei Kang Qiu, Chun Xue, Qingfeng Zhuge, Zili Shao, Meilin Liu, Edwin Hsing-Mean Sha Voltage Assignment and Loop Scheduling for Energy Minimization while Satisfying Timing Constraint with Guaranteed Probability. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tzong-Yen Lin, Rong-Guey Chang Power-Aware Instruction Scheduling. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chun-Lung Hsu, Chang-Hsin Cheng, Yu-Sheng Huang, Chih-Jung Chen An Adaptive Low-Power Control Scheme for On-Chip Network Applications. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zhihai He, Yongfang Liang, Lulin Chen, Ishfaq Ahmad, Dapeng Wu 0001 Power-Rate-Distortion Analysis for Wireless Video Communication Under Energy Constraints. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ruibin Xu, Dakai Zhu 0001, Cosmin Rusu, Rami G. Melhem, Daniel Mossé Energy-efficient policies for embedded clusters. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF distributed systems, load balancing, cluster computing, dynamic voltage scaling, space applications
10Huizhan Yi, Xuejun Yang Optimizing the Configuration of Dynamic Voltage Scaling Points in Real-Time Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amjad Mohsen, Richard Hofmann Power-Aware Scheduling for Hard Real-Time Embedded Systems Using Voltage-Scaling Enabled Architectures. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ravindra Jejurikar, Rajesh K. Gupta 0001 Energy Aware Non-Preemptive Scheduling for Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Lap-Fai Leung, Chi-Ying Tsui, Xiaobo Sharon Hu Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Van R. Culver, Sunil P. Khatri A dynamic voltage scaling algorithm for energy reduction in hard real-time systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Huizhan Yi, Xuejun Yang, Juan Chen 0001 The Optimal Profile-Guided Greedy Dynamic Voltage Scaling in Real-Time Applications. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ruibin Xu, Daniel Mossé, Rami G. Melhem Minimizing expected energy in real-time embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor acceleration to conserve energy, real-time, power management, dynamic voltage scaling
10Tarek A. AlEnawy, Hakan Aydin Energy-Constrained Scheduling for Weakly-Hard Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10A. Goel, C. Mani Krishna 0001, Israel Koren Energy aware kernel for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded system, dynamic voltage scaling, earliest deadline first, real-time operating system, eCos
10Soner Yaldiz, Alper Demir 0001, Serdar Tasiran, Paolo Ienne, Yusuf Leblebici Characterizing and Exploiting Task-Load Variability and Correlation for Energy Management in multi-core systems. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10William Lloyd Bircher, M. Valluri, J. Law, Lizy K. John Runtime identification of microprocessor energy saving opportunities. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative microprocessors, modeling, energy efficiency, power
10Eric Karl, Dennis Sylvester, David T. Blaauw Timing error correction techniques for voltage-scalable on-chip memories. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amjad Mohsen, Richard Hofmann Near Optimal and Energy-Efficient Scheduling for Hard Real-Time Embedded Systems. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10David Roberts, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner Error Analysis for the Support of Robust Voltage Scaling. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chun-Chao Yeh On the Power-Aware Resource Allocation for Linear-Pipelined Real-Time Tasks. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hiroshi Nakashima, Hiroshi Nakamura, Mitsuhisa Sato, Taisuke Boku, Satoshi Matsuoka, Daisuke Takahashi, Yoshihiko Hotta MegaProto: 1 TFlops/10kW Rack Is Feasible Even with Only Commodity Technology. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Dan Ernst, Shidhartha Das, Seokwoo Lee, David T. Blaauw, Todd M. Austin, Trevor N. Mudge, Nam Sung Kim, Krisztián Flautner Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chaeseok Im, Soonhoi Ha Dynamic voltage scaling for real-time multi-task scheduling using buffers. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling
10Cosmin Rusu, Ruibin Xu, Rami G. Melhem, Daniel Mossé Energy-Efficient Policies for Request-Driven Soft Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ravindra Jejurikar, Rajesh K. Gupta 0001 Optimized Slowdown in Real-Time Task Systems. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Krishnan Srinivasan, Nagender Telkar, Vijay Ramamurthi, Karam S. Chatha System-Level Design Techniques for Throughput and Power Optimization of Multiprocessor SoC Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yingmin Li, Dharmesh Parikh, Yan Zhang 0028, Karthik Sankaranarayanan, Mircea R. Stan, Kevin Skadron State-Preserving vs. Non-State-Preserving Leakage Control in Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10André C. Nácul, Tony Givargis Dynamic Voltage and Cache Reconfiguration for Low Power. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Markus Ramsauer Simultaneously Exploiting Dynamic Voltage Scaling, Execution Time Variations, and Multiple Methods in Energy-Aware Hard Real-Time Scheduling. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Aqeel Mahesri, Vibhore Vardhan Power Consumption Breakdown on a Modern Laptop. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ruibin Xu, Chenhai Xi, Rami G. Melhem, Daniel Mossé Practical PACE for embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor acceleration to conserve energy, real-time, power management, dynamic voltage scaling, fully polynomial time approximation scheme
10Dongkun Shin, Jihong Kim 0001 Power-Aware Scheduling of Mixed Task Sets in Priority-Driven Systems. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Venkat Rao, Gaurav Singhal, Anshul Kumar Real Time Dynamic Voltage Scaling For Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Krishnan Srinivasan, Karam S. Chatha An ILP Formulation for System Level Throughput and Power Optimization in Multiprocessor SoC Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ying Zhang 0041, Robert P. Dick, Krishnendu Chakrabarty Energy-aware deterministic fault tolerance in distributed real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault tolerance, real-time systems, checkpointing, voltage scaling
10Ravindra Jejurikar, Cristiano Pereira, Rajesh K. Gupta 0001 Leakage aware dynamic voltage scaling for real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF critical speed, procrastication, real-time systems, leakage power, EDF scheduling, low power scheduling
10Jerry Hom, Ulrich Kremer Inter- rogram Compilation for Disk Energy Reduction. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jayanth Srinivasan, Sarita V. Adve Predictive dynamic thermal management for multimedia applications. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, thermal management, adaptive architectures
10Jinfeng Liu 0006, Pai H. Chou Energy Optimization of Distributed Embedded Processors by Combined Data Compression and Functional Partitioning. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Marcio Buss, Tony Givargis, Nikil D. Dutt Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Zhijian Lu, John C. Lach, Mircea R. Stan, Kevin Skadron Reducing Multimedia Decode Power using Feedback Control. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Saowanee Saewong, Ragunathan Rajkumar Practical Voltage-Scaling for Fixed-Priority RT-Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chris H. Kim, Kaushik Roy 0001 Dynamic VTH Scaling Scheme for Active Leakage Power Reduction. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jian-Liang Kuo, Tien-Fu Chen Dynamic voltage leveling scheduling for real-time embedded systems on low-power variable speed processors. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time systems, low power, dynamic voltage scaling
10Zhijian Lu, Jason Hein, Marty Humphrey, Mircea R. Stan, John C. Lach, Kevin Skadron Control-theoretic dynamic frequency and voltage scaling for multimedia workloads. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF control theoretic, frequency/voltage scaling, multimedia, real-time, high performance, feedback control, low energy, power aware
10Lin Yuan, Gang Qu 0001 Design Space Exploration for Energy-Efficient Secure Sensor Network. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yung-Hsiang Lu, Giovanni De Micheli Comparing System-Level Power Management Policies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Gary Scott Malkin Dial-in Virtual Private Networks Using Layer 3 Tunneling. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #598 of 598 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license