The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Marcel Runge, Dario Schmock, Philipp Scholz, Georg Böck, Friedel Gerfers A 0.02-mm2 9-bit 100-MS/s Charge-Injection Cell Based SAR-ADC in 65-nm LP CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuwei Wang, Ruizhi Zhang 0002, Quan Sun, Hong Zhang 0009 A 0.5 V, 650 pW, 0.031%/V Line Regulation Subthreshold Voltage Reference. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jahoon Jin, Jaekwon Kim, Hye-Ran Kim, Canxing Piao, Jaemin Choi, Dong-Seok Kang, C. Jung-Hoon A 4.0-10.0-Gb/s Referenceless CDR with Wide-Range, Jitter-Tolerant, and Harmonic-Lock-Free Frequency Acquisition Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Reinhard Herzer Gate Driver Solutions for Modern Power Devices and Topologies. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1See Taur Lee, Abdellatif Bellaouar, Sherif H. K. Embabi A Low-Power, Compact 76-81GHz FMCW Transmitter for Automotive Radar in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kevin Cushon, Per Larsson-Edefors, Peter A. Andrekson A High-Throughput Low-Power Soft Bit-Flipping LDPC Decoder in 28 nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Martijn F. Snoeij A 36V 48MHz JFET-Input Bipolar Operational Amplifier with 150µV Maximum Offset and Overload Supply Current Control. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chi-Hang Chan, Yan Zhu 0001, Zi-Hao Zheng, Rui Paulo Martins A 39mW 7b 8GS/s 8-way TI ADC with Cross-linearized Input and Bootstrapped Sampling Buffer Front-end. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zexi Ji, Saba Zargham, Antonio Liscidini Low-Power QPSK Transmitter Based on an Injection-Locked Power Amplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Eric Guthmuller, César Fuguet Tortolero, Pascal Vivet, Christian Bernard, Ivan Miro Panades, Jean Durupt, E. Beignc, Didier Lattard, Séverine Cheramy, Alain Greiner, Quentin L. Meunier, Pirouz Bazargan-Sabet A 29 Gops/Watt 3D-Ready 16-Core Computing Fabric with Scalable Cache Coherent Architecture Using Distributed L2 and Adaptive L3 Caches. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Roel Uytterhoeven, Wim Dehaene A sub 10 pJ/Cycle Over a 2 to 200 MHz Performance Range RISC- V Microprocessor in 28 nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marian Verhelst Exploiting FDSOI Towards Minimum Energy Point Operation in Processors and Machine Learning Accelerators. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lei Zeng, Chun-Huat Heng A Dual Loop 8-Channel ECG Recording System with Fast Settling Mode. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Baher Haroun Autonomous Vehicles Sensor Needs. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Abishek Manian, Amit Rane, Yongseon Koh A Simultaneous Bidirectional Single-Ended Coaxial Link with 24-Gb/s Forward and 312.5-Mb/s Back Channels. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nikolaos Papadopoulos, Soeren Steudel, Florian De Roose, Doaa M. Eigabry, Auke Jisk Kronemeijer, Jan Genoe, Wim Dehaene, Kris Myny In-Panel 31.17dB 140kHz 87µW Unipolar Dual-Gate In-Ga-Zn-O Charge-Sense Amplifier for 500dpi Sensor Array on Flexible Displays. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Said Hussaini, Hui Jiang 0007, Paul Walsh, Dermot MacSweeney, Kofi A. A. Makinwa A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andreia Cathelin FD-SOI Integration Solutions for Analog, RF and Millimeter-Wave Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Angie Wang, Woo-Rham Bae, Jaeduk Han, Stevo Bailey, Paul Rigge, Orhan Ocal, Zhongkai Wang, Kannan Ramchandran, Elad Alon, Borivoje Nikolic A Real-Time, Analog/Digital Co-Designed 1.89-GHz Bandwidth, 175-kHz Resolution Sparse Spectral Analysis RISC-V SoC in 16-nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1David L. Harame RF FDSOI Technology and Modelling. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Giulio Ricotti, Valeria Bottarel HV Floating Switch Matrix with Parachute Safety Driving for 3D Echography Systems. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Younghyun Lim, Jeonghyun Lee, Yongsun Lee, Seyeon Yoo, Jaehyouk Choi A 320µV-Output Ripple and 90ns-Settling Time at 0.5V Supply Digital-Analog-Hybrid LDO Using Multi-Level Gate-Voltage Generator and Fast-Decision PD Detector. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthias Korb, Samuel Willi, Benjamin Weber, Harald Kroll, Andreas Traber, Stefan Altorfer, David Tschopp, Jürgen Rogin, Edwin Dornbierer, Mauro Salomon, Stefan Lippuner, Lianbo Wu, Qiuting Huang A Dual-Mode NB-IoT and EC-GSM RF-SoC Achieving -128-dBm Extended-Coverage and Supporting OTDOA and A-GPS Positioning. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Federico Fary, Marcello De Matteis, Tommaso Vergine, Andrea Baschirotto A 28nm-CMOS 100MHz 1mW 12dBm-IIP3 4th-Order Flipped-Source-Follower Analog Filter. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sudhir Satpathy, Sanu Mathew, Vikram B. Suresh, Vinodh Gopal, James Guilford, Mark A. Anders 0001, Himanshu Kaul, Amit Agarwal 0001, Steven Hsu, Kam Krisnnamurthy 34.4Mbps 1.56Tbps/W DEFLATE Decompression Accelerator Featuring Block-Adaptive Huffman Decoder in 14nm Tri-Gate CMOS for IoT Platforms. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chixiao Chen, Xindi Liu, Huwan Peng, Hongwei Ding, C.-J. Richard Shi iFPNA: A Flexible and Efficient Deep Neural Network Accelerator with a Programmable Data Flow Engine in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qingrui Meng, Ramesh Harjani A 4GHz Instantaneous Bandwidth Low Squint Phased Array Using Sub-Harmonic ILO Based Channelization. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Juan Sebastian Piedrahita Giraldo, Marian Verhelst Laika: A 5uW Programmable LSTM Accelerator for Always-on Keyword Spotting in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pen-Jui Peng, Yan-Ting Chen, Chao-Hsuan Chen, Sheng-Tsung Lai, Hsiang-En Huang, Ho-Hsuan Lu, Tsai-Chin Yu A 50-Gb/s Quarter-Rate Voltage-Mode Transmitter with Three-Tap FFE in 40-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Umanath Kamath, Edward Cullen, John Jennings, Ionut Cical, Darragh Walsh, Peng Lim, Brendan Farley, Robert Bogdan Staszewski A 1 V Bandgap Reference in 7-nm FinFET with a Programmable Temperature Coefficient and an Inaccuracy of ±0.2% from -45°C to 125°C. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zheng Sun, Hanli Liu, Dexian Tang, Hongye Huang, Tohru Kaneko, Rui Wu 0001, Wei Deng 0001, Kenichi Okada A 0.85mm2 BLE Transceiver with Embedded T/R Switch, 2.6mW Fully-Passive Harmonic Suppressed Transmitter and 2.3mW Hybrid-Loop Receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rubén Salvador, Alberto Sanchez, Xin Fan 0002, Tobias Gemmeke A Cortex-M3 Based MCV Featuring AVS with 34nW Static Power, 15.3pJ/inst. Active Energy, and 16% Power Variation Across Process and Temperature. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 44th IEEE European Solid State Circuits Conference, ESSCIRC 2018, Dresden, Germany, September 3-6, 2018 Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  BibTeX  RDF
1Daniel Lutz, Achim Seidel, Bernhard Wicht A 50V, 1.45ns, 4.1pJ High-Speed Low-Power Level Shifter for High-Voltage DCDC Converters. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sae Kyu Lee, Paul N. Whatmough, Niamh Mulholland, Patrick Hansen, David Brooks 0001, Gu-Yeon Wei A Wide Dynamic Range Sparse FC-DNN Processor with Multi-Cycle Banked SRAM Read and Adaptive Clocking in 16nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Evangelos Eleftheriou "In-memory Computing": Accelerating AI Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yongjia Li, Mario Motz, Leneesh Raghavan A Signal and Offset T&H Frontend for Spinning Hall Sensors with Ping-Pong and Chopping Techniques. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthias Häberle, Denis Djekic, Georg E. Fantner, Klaus Lips, Maurits Ortmanns, Jens Anders An Integrator-Differentiator TIA Using a Multi-Element Pseudo-Resistor in its DC Servo Loop for Enhanced Noise Performance. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sashank Krishnamurthy, Filip Maksimovic, Ali M. Niknejad 580µW 2.2-2.4GHz Receiver with +3.3dBm Out-of-Band IIP3 for IoT Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Naser Mousavi, Zhiheng Wang 0002, Ramesh Harjani A 0.4-1.0GHz, 47MHop/S Frequency Hopped TXR Front-End with 20dB in-Band Blocker Rejection. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Georg Sigl, Mathieu Gross, Michael Pehl Where Technology Meets Security: Key Storage and Data Separation for System-on-Chips. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Christopher Rogi, Enrique Prefasi, Richard Gaggl A Low-Power Auto-Zero Switched-Capacitor Dual-Slope Noise-Shaping Direct CDC. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anthony Quelen, Guilherme Migliato Marega, Sylvain Bouquet, Ivan Miro Panades, Gaël Pillonnet LDO-Assisted Voltage Selector Over 0.5-to-1V VDD Range for Fine Grained DVS in FDSOI 28nm with 200ns/V Controlled Transition. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Junyao Tang, Chenchang Zhan, Guanhua Wang, Yang Liu 0061 A 0.7V Fully-on-Chip Pseudo-Digital LDO Regulator with 6.3μA Quiescent Current and 100mV Dropout Voltage in 0.18-μm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anirban Bandyopadhyay FD-SOI Enabled mmWave Telecommunication Applications and System Architectures. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ningxi Liu, Rishika Agarwala, Anjana Dissanayake, Daniel S. Truesdell, Sumanth Kamineni, Xing Chen 0004, David D. Wentzloff, Benton H. Calhoun A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 µs Start-up Time. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Zulqarnain, Stefano Stanzione, Jan-Laurens P. J. van der Steen, Gerwin H. Gelinck, Kris Myny, Sahel Abdinia, Eugenio Cantatore A 52 µW Heart-Rate Measurement Interface Fabricated on a Flexible Foil with A-IGZO TFTs. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Masato Osawa, S. Hiraide, S. Suzuki, H. Kato, Kosei Tamiya, Yasunari Harada, Kuba Raczkowski, J. L. Bacq, Peter Van Wesemael, M. Liu, Annachiara Spagnolo, K. De Munck, S. Guerrieri, Jonathan Borremans An Adaptive Frame Image Sensor with Fine-Grained Power Management for Ultra-Low Power Internet of Things Application. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Reza Ranjandish, Kerim Türe, Franco Maloberti, Catherine Dehollain, Alexandre Schmid All Wireless, 16-Channel Epilepsy Control System with Sub-µW/Channel and Closed-Loop Stimulation Using a Switched-Capacitor-Based Active Charge Balancing Method. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Borivoje Nikolic, Elad Alon, Krste Asanovic Generating the Next Wave of Custom Silicon. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuan Liang, Hao Yu 0001, Chirn Chye Boon, Chenyang Li, Dietmar Kissinger, Yong Wang D-Band Surface-Wave Modulator and Signal Source with 40 dB Extinction Ratio and 3.7mW Output Power in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarin Voinigescu mm W and High Speed Solutions Enabled by FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Robert K. Henderson, Nick Johnston, Haochang Chen, David Day-Uei Li, Graham Hungerford, Richard Hirsch, David McLoskey, Philip Yip, David J. S. Birch A 192×128 Time Correlated Single Photon Counting Imager in 40nm CMOS Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Udo Gomez Smart Connected Sensors - Enablers for the IoT. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bob Schell, Robert Bishop, Jack Kenney A 3-12.5 Gb/s Reference-Less CDR for an Eye-Opening Monitor. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vikram B. Suresh, Sudhir Satpathy, Sanu Mathew, Mark A. Anders 0001, Himanshu Kaul, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001 A 230mV-950mV 2.8Tbps/W Unified SHA256/SM3 Secure Hashing Hardware Accelerator in 14nm Tri-Gate CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shaolong Liu, Jeyanandh Paramesh, Larry T. Pileggi, Taimur Gibran Rabuske, Jorge Fernandcs A 125 MS/s 10.4 ENOB 10.1 fJ/Conv-Step Multi-Comparator SAR ADC with Comparator Noise Scaling in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hao Li 0047, Ganesh Balamurugan, James E. Jaussi, Bryan Casper A 112 Gb/s PAM4 Linear TIA with 0.96 pJ/bit Energy Efficiency in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jens Sauerbrey, Jacinto San Pablo Garcia, Udo Schutz, Hasham Khushk, John G. Kauffman A Multi-mode GSM to LTE100 ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jaeho Im, Hun-Seok Kim, David D. Wentzloff A 470µW -92.5dBm OOK/FSK Receiver for IEEE 802.11 WiFi LP-WUR. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hsi-Shou Wu, Zhengya Zhang, Marios C. Papaefthymiou A 0.23mW Heterogeneous Deep-Learning Processor Supporting Dynamic Execution of Conditional Neural Networks. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Samuel Quenzer-Hohmuth, Steffen Ritzmann, Thoralf Rosahl, Bernhard Wicht A Boost Converter with 3-6V Input and Fast Transient Digital Control Comprising a 90 ns-Latency Live-Tracking Window ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sundeep Javvaji, Vipul Singhal 0001, Vinod Menezes, Rajat Chauhan, Shanthi Pavan Multi-Step Bias-Flip Rectification for Piezoelectric Energy Harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jorge Marin, Elisa Sacco, Johan Vergauwen, Georges G. E. Gielen A Single-Temperature-Calibration 0.18-µm CMOS Time-Based Resistive Sensor Interface with Low Drift over a -40°C to 175°C Temperature Range. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vadim Issakov Circuit Design Challenges of Highly-Integrated mm-Wave Radar-Based Sensors in SOI Based Technologies. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Haoming Xin, Martin Andraud, Peter G. M. Baltus, Eugenio Cantatore, Pieter Harpe A 0.1nW -1µW All-Dynamic Capacitance-to-Digital Converter with Power/Speed/Capacitance Scalability. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gary L. Patton Unleashing Technology Solutions for a New Era of Connected Intelligence. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yang Zhang 0030, Maxime De Wit, Patrick Reynaert A D-band Foam-Cladded Dielectric Waveguide Communication Link with Automatic Tuning. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li-Cheng Chu, Shao-Qi Chen, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Pseudo-Ramp Controlled Three Level Buck Converter with an Auto-Ripple Cancellation Technique for Low Output Voltage Ripple in Sub-Threshold Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chongyu Yu, Jun Feng, Dixian Zhao A 28-GHz CMOS Broadband Single-Path Power Amplifier with 17.4-dBm P1dB for 5G Phased-Array. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gautam R. Gangasani, Peter R. Kinget A 19Gb/s RX for VSR-C2C Links with Clock-Less DFE and High-BW CDR Based on Master-Slave ILOs in 14nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vincent W. Leung, Jihun Lee, Siwei Li, Siyuan Yu, Chester Kilfoyle, Lawrence E. Larson, Arto V. Nurmikko, Farah Laiwalla A CMOS Distributed Sensor System for High-Density Wireless Neural Implants for Brain-Machine Interfaces. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tianyu Jia, Russ Joseph, Jie Gu 0001 An Instruction Driven Adaptive Clock Phase Scaling with Timing Encoding and Online Instruction Calibration for a Low Power Microprocessor. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wouter Diels, Michiel Steyaert, Filip Tavernier A 1310/1550 nm Fully-Integrated Optical Receiver with Schottky Photodiode and Low-Noise Transimpedance Amplifier in 40 nm Bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ori Bass, Joseph Shor Ultra-Miniature 0.003 mm2 PNP-Based Thermal Sensor for CPU Thermal Monitoring. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hyeonji Lee, Eunsang Jang, Hassan Saif, Yongmin Lee, Minsun Kim, Muhammad Bilawal Khan, Yoonmyung Lee A Sub-nW Fully Integrated Switched-Capacitor Energy Harvester for Implantable Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tuan Thanh Ta, Yosuke Ogasawara, Tong Wang 0018, Masayoshi Oshiro, Naotaka Koide, Akihide Sai, Takashi Tokairin A 15mW -105dBm Image-Sparse-Sliding-IF Receiver with Transformer-Based on-Chip Q-Enhanced RF Matching Network for a 113dB-Link-Budget BLE 5.0 TRX. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Philipp Schönle, Giovanni Rovere, Florian Glaser, Jonathan Bosser, Noé Brun, X. Han, Thomas Burger, Schekeb Fateh, Q. Wang, Luca Benini, Qiuting Huang A multi-sensor and parallel processing SoC for wearable and implantable telemetry systems. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim 0001, Gabriel M. Rebeiz, Patrick P. Mercier, Drew A. Hall A 400 MHz 4.5 nW -63.8 dBm sensitivity wake-up receiver employing an active pseudo-balun envelope detector. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Danny Luu, Lukas Kull, Thomas Toifl, Christian Menolfi, Matthias Braendli, Pier Andrea Francese, Thomas Morf, Marcel A. Kossel, Hazar Yueksel, Alessandro Cevrero, Ilter Özkaya, Qiuting Huang Background calibration using noisy reference ADC for a 12 b 600 MS/s 2 × TI SAR ADC in 14nm CMOS FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maoqiang Liu, Arthur H. M. van Roermund, Pieter Harpe A 10b 20MS/s SAR ADC with a low-power and area-efficient DAC-compensated reference. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tong Zhang 0019, Yongdong Chen, Chenxi Huang, Jacques Christophe Rudell A low-noise reconfigurable full-duplex front-end with self-interference cancellation and harmonic-rejection power amplifier for low power radio applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hasan Gul, Jac Romme, Paul Mateman, Johan Dijkhuis, Xiongchuan Huang, Cui Zhou, Benjamin Busze, Gert-Jan van Schaik, Elbert Bechthum, Ming Ding 0003, Arjan Breeschoten, Yao-Hong Liu, Christian Bachmann, Guido Dolmans, Kathleen Philips A 8mW-RX/113mW-TX, Sub-GHz SoC with time-dithered PA ramping for LPWAN applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Erik Ryman, Anders Emrich, Lars J. Svensson, Per Larsson-Edefors A 3-GHz reconfigurable 2/3-level 96/48-channel cross-correlator for synthetic aperture radiometry. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Khaled Khalaf, Kristof Vaesen, Steven Brebels, Giovanni Mangraviti, Michael Libois, Charlotte Soens, Piet Wambacq A 60GHz 8-way phased array front-end with TR switching and calibration-free beamsteering in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fabio Boscolo, Fabio Padovan, Fabio Quadrelli, Marc Tiebout, Andrea Neviani, Andrea Bevilacqua A 21GHz 20.5%-tuning range Colpitts VCO with -119 dBc/Hz phase noise at 1MHz offset. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Denis Djekic, Georg E. Fantner, Jan Behrends, Klaus Lips, Maurits Ortmanns, Jens Anders A transimpedance amplifier using a widely tunable PVT-independent pseudo-resistor for high-performance current sensing applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naoya Onizawa, Kazumichi Matsumiya, Warren J. Gross, Takahiro Hanyu Accuracy/energy-flexible stochastic configurable 2D Gabor filter with instant-on capability. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mattias Palm, Daniele Mastantuono, Roland Strandberg, Lars Sundström, Sven Mattisson A 12b, 1 GSps TI pipelined-SAR converter with 65 dB SFDR through buffer linearization and gain mismatch correction in 28nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marcel A. Kossel, Christian Menolfi, Pier Andrea Francese, Lukas Kull, Thomas Morf, Thomas Toifl, Matthias Braendli, Alessandro Cevrero, Danny Luu, Ilter Özkaya, Hazar Yueksel DDR4 transmitter with AC-boost equalization and wide-band voltage regulators for thin-oxide protection in 14-nm SOI CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nunzio Greco, Alessandro Parisi, Pierpaolo Lombardo, Giuseppe Palmisano, Nunzio Spina, Egidio Ragonese A 100-mW fully integrated DC-DC converter with double galvanic isolation. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Eunchul Kang, Qing Ding, Maysam Shabanimotlagh, Pieter Kruizinga, Zu-yao Chang, Emile Noothout, Hendrik J. Vos, Johan G. Bosch, Martin D. Verweij, Nico de Jong, Michiel A. P. Pertijs A reconfigurable 24 × 40 element transceiver ASIC for compact 3D medical ultrasound probes. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Juan C. Castellanos, Mert Turhan, Marcel A. M. Hendrix, Arthur H. M. van Roermund, Eugenio Cantatore A 92.2% peak-efficiency self-resonant hybrid switched-capacitor LED driver in 0.18μm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Robert Giterman, Alexander Fish, Narkis Geuli, Elad Mentovich, Andreas Burg, Adam Teman An 800 Mhz mixed-VT 4T gain-cell embedded DRAM in 28 nm CMOS bulk process for approximate computing applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Moustafa A. Khatib, Matteo Perenzoni, David Stoppa A noise-efficient, in-pixel readout for FET-based THz detectors with direct incremental A/D conversion. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christian Elgaard, Lars Sundström A 491.52 MHz 840 uW crystal oscillator in 28 nm FD-SOI CMOS for 5G applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Majid Zamani, Dai Jiang, Andreas Demosthenous A highly accurate spike sorting processor with reconfigurable embedded frames for unsupervised and adaptive analysis of neural signals. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mark Ingels, Davide Dermit, Yao Liu, Hans Cappelle, Jan Craninckx A 2×14bit digital transmitter with memoryless current unit cells and integrated AM/PM calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Benjamin Weber, Matthias Korb, David Tschopp, Stefan Altorfer, Jürgen Rogin, Harald Kroll, Qiuting Huang A SAW-less RF-SoC for cellular IoT supporting EC-GSM-IoT -121.7 dBm sensitivity through EGPRS2A 592 kbps throughput. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hui Wang 0023, Patrick P. Mercier A 420 fW self-regulated 3T voltage reference generator achieving 0.47%/V line regulation from 0.4-to-1.2 V. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license