The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1989 (17) 1990-1991 (27) 1992-1993 (30) 1994 (19) 1995 (22) 1996 (29) 1997 (20) 1998 (24) 1999 (32) 2000 (28) 2001 (23) 2002 (34) 2003 (35) 2004 (50) 2005 (55) 2006 (50) 2007 (65) 2008 (59) 2009 (29) 2010 (19) 2011-2012 (24) 2013-2014 (29) 2015 (18) 2016 (15) 2017 (24) 2018 (27) 2019 (30) 2020 (15) 2021-2022 (32) 2023 (17)
Publication types (Num. hits)
article(219) book(1) inproceedings(675) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 769 occurrences of 468 keywords

Results
Found 898 publication records. Showing 898 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Alexander Barkalov 0001, Larysa Titarenko, Raisa Malcheva, Kyryll Soldatov Hardware Reduction in FPGA-Based Moore FSM. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17André Takeshi Endo, Adenilso da Silva Simão Evaluating test suite characteristics, cost, and effectiveness of FSM-based testing methods. Search on Bibsonomy Inf. Softw. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jingling Zhao, Shilei Chen, Shurui Liang, Baojiang Cui, Xiaolong Song RFSM-Fuzzing a Smart Fuzzing Algorithm Based on Regression FSM. Search on Bibsonomy 3PGCIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mike Borowczak, Ranga Vemuri Secure controllers: Requirements of S*FSM. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Larysa Titarenko, Olena Hebda Matrix implementation of Moore FSM with nonstandard presentation of state codes. Search on Bibsonomy EWDTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17R. M. Hidalgo-Bermúdez, M. S. Rodríguez-Domingo, Antonio Miguel Mora, Pablo García-Sánchez, Juan Julián Merelo Guervós, Antonio José Fernández Leiva Evolutionary FSM-Based Agents for Playing Super Mario Game. Search on Bibsonomy LION The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jiliang Zhang 0002, Yaping Lin, Yongqiang Lu 0001, Ray C. C. Cheung, Wenjie Che, Qiang Zhou 0001, Jinian Bian Binding Hardware IPs to Specific FPGA Device via Inter-twining the PUF Response with the FSM of Sequential Circuits. Search on Bibsonomy FCCM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Tomofumi Yuki, Antoine Morvan, Steven Derrien Derivation of efficient FSM from loop nests. Search on Bibsonomy FPT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Himani Mittal, Dinesh Chandra, Arvind Tiwari Design of Low Power FSM Using Verilog in VLSI. Search on Bibsonomy QSHINE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ivan Beschastnikh, Yuriy Brun, Jenny Abrahamson, Michael D. Ernst, Arvind Krishnamurthy Unifying FSM-inference algorithms through declarative specification. Search on Bibsonomy ICSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jun Tang, Xiaohong Huang, Jing Qian, César Viho A FSM-based Test Sequence Generation Method for RPL Conformance Testing. Search on Bibsonomy GreenCom/iThings/CPScom The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Pavlos M. Mattheakis, Christos P. Sotiriou Polynomial Complexity Asynchronous Control Circuit Synthesis of Concurrent Specifications Based on Burst-Mode FSM Decomposition. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Alexander Barkalov 0001, Larysa Titarenko, Malgorzata Kolopienczyk EMB - Based Design of Mealy FSM. Search on Bibsonomy PDeS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Larysa Titarenko, Olena Hebda, Alexander Barkalov 0001 Synthesis of PLA-Based Moore FSM with Unconventional Presentation of State Codes. Search on Bibsonomy PDeS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Khaled El-Fakih, Rita Dorofeeva, Nina Yevtushenko 0001, Gregor von Bochmann FSM-based testing from user defined faults adapted to incremental and mutation testing. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hashem Moradmand Ziyabar, Mahnaz Sinaie, Ali Payandeh, Vahid Tabataba Vakili Secure FSM- based arithmetic codes Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Luca Blessent FSM™ femtocell station modem. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Aadithya V. Karthik, Yingyan Lin, Chenjie Gu, Aolin Xu, Jaijeet S. Roychowdhury, Naresh R. Shanbhag A fully automated technique for constructing FSM abstractions of non-ideal latches in communication systems. Search on Bibsonomy ICASSP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Vojtech Merunka FSM-Based Object-Oriented Organization Modeling and Simulation. Search on Bibsonomy CAiSE Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Maykon Luís Capellari, Itana Maria de Souza Gimenes, Adenilso da Silva Simão, André Takeshi Endo Towards Incremental FSM-based Testing of Software Product Lines. Search on Bibsonomy SBQS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Katayoon Beetarafan (Bitarafan), Nariman Heydari Bateni Using Finite Series Model (FSM) and cache techniques for estimating scalability level. Search on Bibsonomy IST The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Vacius Jusas, Tomas Neverdauskas FSM Based Functional Test Generation Framework for VHDL. Search on Bibsonomy ICIST The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Priyanka Choudhury, Sambhu Nath Pradhan Power Modeling of Power Gated FSM and Its Low Power Realization by Simultaneous Partitioning and State Encoding Using Genetic Algorithm. Search on Bibsonomy VDAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Konstantin Septinus Design, Compiler-Werkzeuge und Evaluation einer FSM-basierten Prozessoreinheit für hochratige Multistandard-Datenpaketverarbeitung. Search on Bibsonomy 2012   RDF
17Jin Qi, Jie Hu 0002, Ying-hong Peng, Wei-ming Wang, Zhenfei Zhang AGFSM: An new FSM based on adapted Gaussian membership in case retrieval model for customer-driven design. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Johann Glaser, Markus Damm, Jan Haase 0001, Christoph Grimm 0001 TR-FSM: Transition-Based reconfigurable finite state machine. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Aijiao Cui, Chip-Hong Chang, Sofiène Tahar, Amr T. Abdel-Hamid A Robust FSM Watermarking Scheme for IP Protection of Sequential Circuit Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yasser Sedaghat, Seyed Ghassem Miremadi An FSM-based monitoring technique to differentiate between follow-up and original errors in safety-critical distributed embedded systems. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Carlos Molinero, Manuel Núñez 0001, Robert M. Hierons Creating adaptive sequences with genetic algorithms to reach a certain state in a non-deterministic FSM. Search on Bibsonomy ALIFE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chenjie Gu, Jaijeet S. Roychowdhury FSM model abstraction for analog/mixed-signal circuits by learning from I/O trajectories. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Hideharu Kojima, Tomoyuki Ohta, Yoshiaki Kakuda A Transition Reduction Method for FSM of MANET Routing Protocol with Blacklist. Search on Bibsonomy ISADS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chi-Hoon Shin, Myeong-Hoon Oh, Sung Nam Kim, Seongwoon Kim Fine-grained power gating of datapath using FSM. Search on Bibsonomy NESEA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masahiro Fujita Synthesizing, Verifying, and Debugging SoC with FSM-Based Specification of On-Chip Communication Protocols. Search on Bibsonomy ATVA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Evolution of Test Programs Exploiting a FSM Processor Model. Search on Bibsonomy EvoApplications (2) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Dina Sadat Jalali, Alireza Shahrbanoonezhad A new intrusion detection method based on FSM and cache memory in ad hoc networks. Search on Bibsonomy CCIS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Maxim Zhigulin, Nina Yevtushenko 0001, Stéphane Maag, Ana R. Cavalli FSM-Based Test Derivation Strategies for Systems with Time-Outs. Search on Bibsonomy QSIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Che-Hua Shih, Ya-Ching Yang, Chia-Chih Yen, Juinn-Dar Huang, Jing-Yang Jou FSM-Based Formal Compliance Verification of Interface Protocols. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2010 DBLP  BibTeX  RDF
17Iksoon Hwang, Ana R. Cavalli Testing a probabilistic FSM using interval estimation. Search on Bibsonomy Comput. Networks The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Rita Dorofeeva, Khaled El-Fakih, Stéphane Maag, Ana R. Cavalli, Nina Yevtushenko 0001 FSM-based conformance testing methods: A survey annotated with experimental evaluation. Search on Bibsonomy Inf. Softw. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Konstantin Septinus, Peter Pirsch, Holger Blume, Ulrich Mayer A fully programmable FSM-based Processing Engine for Gigabytes/s header parsing. Search on Bibsonomy ICSAMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Chi Hu, Xiaodan Zhuang, Mark Hasegawa-Johnson FSM-based pronunciation modeling using articulatory phonological code. Search on Bibsonomy INTERSPEECH The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Hui Chen 0005, Chunjie Zhou, Jie Ma, Yuanqing Qing FSM model and analysis for reconfigurable protocol stack in networked control system. Search on Bibsonomy ICCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Hardware reduction for FSM - Based control units using PAL technology. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Reduction in the number of PAL macrocells for Moore FSM implemented with CPLD. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Alexander Sudnitson, Dmitri Mihhailov, Margus Kruus Advanced topics of FSM design using FPGA educational boards and web-based tools. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Mikhail M. Chupilko Constructing test sequences for hardware designs with parallel starting operations using implicit FSM models. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Xiaokang Zhou, Huaikou Miao Axiomatic Assessment of FSM-Based Test Adequacy Criteria for Web Application. Search on Bibsonomy ACIS-ICIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yuan Miao 0001, Xuebing Yang An FSM based GUI test automation model. Search on Bibsonomy ICARCV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17NurQamarina MohdNoor, Azilah Saparon, Yusrina Yusof Programmable MBIST Merging FSM and Microcode Techniques Using Macro Commands. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Saurabh Chaudhury, Krishna Teja Sistla, Santanu Chattopadhyay Genetic algorithm-based FSM synthesis with area-power trade-offs. Search on Bibsonomy Integr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Che-Hua Shih, Juinn-Dar Huang, Jing-Yang Jou Automatic Verification Stimulus Generation for Interface Protocols Modeled With Non-Deterministic Extended FSM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alexander Barkalov 0001, Larysa Titarenko Logic Synthesis for FSM-Based Control Units Search on Bibsonomy 2009 DBLP  DOI  BibTeX  RDF
17Dongkyun Ahn, Gyungho Lee StackLock with simple FSM. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kenneth Lind, Rogardt Heldal Estimation of Real-Time Software Code Size using COSMIC FSM. Search on Bibsonomy ISORC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alexander Sudnitson, Dmitri Mihhailov, Margus Kruus, Konstantin Tarletski FSM decomposition with application to FPGA synthesis. Search on Bibsonomy CompSysTech The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Babiga Birregah, Kondo H. Adjallah A framework for FSM based multi-model approach to interconnected components network. Search on Bibsonomy SMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ying Hou, Rong Chen 0003, Zhenjun Du Automated GUI Testing for J2ME Software Based on FSM. Search on Bibsonomy ScalCom-EmbeddedCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Muhammad Adeel Pasha, Steven Derrien, Olivier Sentieys Ultra Low-power FSM for Control Oriented Applications. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Samary Baranov, Ilya Levin, Osnat Keren, Mark G. Karpovsky Designing fault tolerant FSM by nano-PLA. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xinghao Jiang, Tanfeng Sun, Jiaqi Feng, Zhigao Lin, Huan Lu A Novel Differential Energy Watermarking Scheme Based on FSM Model against Video Time-Space Tampering. Search on Bibsonomy PCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xi Wang 0017, Huaikou Miao, Liang Guo Towards Automatic Transformation from UML Model to FSM Model for Web Applications. Search on Bibsonomy J. Softw. Eng. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sambhu Nath Pradhan, M. Tilak Kumar, Santanu Chattopadhyay Integrated Power-Gating and State Assignment for Low Power FSM Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ming Li, Shiyi Xu, Enjun Xia, Fayu Wang Design of FSM with Concurrent Error Detection Based on Viterbi Decoding. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sarah Salahuddin, Kirill Bogdanov 0002, Neil Walkinshaw Balanced FSM Generation for Empirical Studies. Search on Bibsonomy ICST Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yu Zhang 0003, Tao Li, Jia Sun, Renchao Qin An FSM-Based Approach for Malicious Code Detection Using the Self-Relocation Gene. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF self-relocation gene, finite-state machine, malicious code detection
17Tao He 0004, Huaikou Miao Modeling and Composition of Web Application Components using Extended FSM. Search on Bibsonomy ICNC (6) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Danilo Ravotto, Ernesto Sánchez 0001, Massimiliano Schillaci, Giovanni Squillero An Evolutionary Methodology for Test Generation for Peripheral Cores Via Dynamic FSM Extraction. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Peripheral testing, ?GP3, test generation, approximate methods, evolutionary methods
17Duoli Zhang, Yu-Kun Song, Gaoming Du, Yuanjie Zhai A technique of automatic monitor generation based on FSM. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alexander Barkalov 0001, Larysa Titarenko, Slawomir Chmielewski Reduction in the Number of PAL Macrocells in the Circuit of a Moore FSM. Search on Bibsonomy Int. J. Appl. Math. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Wilsin Gosti, Tiziano Villa, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli FSM Encoding for BDD Representations. Search on Bibsonomy Int. J. Appl. Math. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli Improving high-level and gate-level testing with FATE: A functional automatic test pattern generator traversing unstabilised extended FSM. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ke Xu 0014, Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Power-Efficient VLSI Realization of a Complex FSM for H.264/AVC Bitstream Parsing. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nainesh Agarwal, Nikitas J. Dimopoulos Automated Power Gating of Registers Using CoDeL and FSM Branch Prediction. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Huaikou Miao, Zhong-sheng Qian, Tao He 0004 Modeling Web Browser Interactions Using FSM. Search on Bibsonomy APSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Adenilso Simão, Alexandre Petrenko, José Carlos Maldonado Experimental Evaluation of Coverage Criteria for FSM-based Testing. Search on Bibsonomy SBES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Franz Pichler A Highly Nonlinear Cellular FSM-Combiner for Stream Ciphers. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hasan Ural, Zhi Xu, Fan Zhang 0001 An Improved Approach to Passive Testing of FSM-based Systems. Search on Bibsonomy AST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Khaled El-Fakih, Alexandre Petrenko, Nina Yevtushenko 0001 FSM Test Translation Through Context. Search on Bibsonomy TestCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Joumana Dargham, Sukaina Al Nasrawi FSM Behavioral Modeling Approach for Hypermedia Web Applications: FBM-HWA Approach. Search on Bibsonomy AICT/ICIW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Christoforos N. Hadjicostis Probabilistic detection of FSM single state-transition faults based on state occupancy measurements. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wen-Tsong Shiue Power/area/delay aware FSM synthesis and optimization. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mariusz Rawski, Henry Selvaraj, Tadeusz Luba An application of functional decomposition in ROM-based FSM implementation in FPGA devices. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Christian Weiss FSM and k-nearest-neighbor for corpus based video-realistic audio-visual synthesis. Search on Bibsonomy INTERSPEECH The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Zoltán Pap, Gyula Csopaki, Sarolta Dibuz On FSM-Based Fault Diagnosis. Search on Bibsonomy TestCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF output fault, transfer fault, fault diagnosis, Finite state machine, fault localization
17Po-Chang Tsai, Sying-Jyan Wang, Feng-Ming Chang FSM-based programmable memory BIST with macro command. Search on Bibsonomy MTDT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Arsalan Ali Shah, Zafar I. Malik, Shaukat Ali 0004 PP-FSM: Peer to Peer File Share for Multimedia. Search on Bibsonomy WEC (2) The full citation details ... 2005 DBLP  BibTeX  RDF
17Minh D. Nguyen, Dominik Stoffel, Markus Wedler, Wolfgang Kunz Transition-by-transition FSM traversal for reachability analysis in bounded model checking. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Minh D. Nguyen, Dominik Stoffel, Wolfgang Kunz Enhancing BMC-based Protocol Verification Using Transition-By-Transition FSM Traversal. Search on Bibsonomy GI Jahrestagung (1) The full citation details ... 2005 DBLP  BibTeX  RDF
17Rita Dorofeeva, Nina Yevtushenko 0001, Khaled El-Fakih, Ana R. Cavalli Experimental Evaluation of FSM-Based Testing Methods. Search on Bibsonomy SEFM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Khaled El-Fakih, Nina Yevtushenko 0001, Gregor von Bochmann FSM-Based Incremental Conformance Testing Methods. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Alvaro Martín, Gadiel Seroussi, Marcelo J. Weinberger Linear time universal coding and time reversal of tree sources via FSM closure. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Khaled El-Fakih, Vadim Trenkaev, Natalia Spitsyna, Nina Yevtushenko 0001 FSM Based Interoperability Testing Methods for Multi Stimuli Model. Search on Bibsonomy TestCom The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Alvaro Martín, Gadiel Seroussi, Marcelo J. Weinberger Linear time universal coding of tree sources via FSM closure. Search on Bibsonomy ISIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Alvaro Martín, Gadiel Seroussi, Marcelo J. Weinberger Linear Time Universal Coding of Tree Sources via FSM Closure. Search on Bibsonomy Data Compression Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Lin Yuan, Pushkin R. Pari, Gang Qu 0001 Finding Redundant Constraints for FSM Minimization. Search on Bibsonomy AAAI The full citation details ... 2004 DBLP  BibTeX  RDF
17Sérgio G. Araújo, Antonio Carneiro de Mesquita Filho, Aloysio Pedroza Improvements in FSM Evolutions from Partial Input/Output Sequences. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Luca Negri, Mariagiovanna Sami, David Macii, Alessandra Terranegra FSM--based power modeling of wireless protocols: the case of bluetooth. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bluetooth, power modeling, wireless protocols
17Ralph Marczynski, Mitchell A. Thornton, Stephen A. Szygenda Test vector generation and classification using FSM traversals. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Mariusz Rawski, Henry Selvaraj, Tadeusz Luba An Application of Functional Decomposition in ROM-Based FSM Implementation in FPGA Devices. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Greg Michaelson, Kevin Hammond, Jocelyn Sérot FSM-Hume is finite state. Search on Bibsonomy Trends in Functional Programming The full citation details ... 2003 DBLP  BibTeX  RDF
Displaying result #501 - #600 of 898 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license