The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for IOLTS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (68) 2006 (58) 2007 (61) 2008 (60) 2009 (55) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (48) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
article(3) inproceedings(1076) proceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 40 keywords

Results
Found 1100 publication records. Showing 1100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013 Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  BibTeX  RDF
44Oscar Ballan, Paolo Bernardi, B. Yazdani, Ernesto Sánchez 0001 A software-based self-test strategy for on-line testing of the scan chain circuitries in embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44SinNyoung Kim, Akira Tsuchiya, Hidetoshi Onodera Perturbation-immune radiation-hardened PLL with a switchable DMR structure. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Yier Jin, Dzmitry Maliuk, Yiorgos Makris A post-deployment IC trust evaluation architecture. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Debdeep Mukhopadhyay On-line testing for differential fault attacks in cryptographic circuits. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Dan Alexandrescu, Enrico Costenaro, Adrian Evans State-aware single event analysis for sequential logic. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Nikos Foutris, Dimitris Gizopoulos, John Kalamatianos, Vilas Sridharan Measuring the performance impact of permanent faults in modern microprocessor architectures. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Nikolaos Eftaxiopoulos-Sarris, Georgios Zervakis 0001, Kostas Tsoumanis, Kiamal Z. Pekmestzi A radiation tolerant and self-repair memory cell. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Gilles Bizot, Fabien Chaix, Nacer-Eddine Zergainoh, Michael Nicolaidis Variability-aware and fault-tolerant self-adaptive applications for many-core chips. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Sebastià A. Bota, Gabriel Torrens, Ivan de Paúl, Bartomeu Alorda, L. A. Segura Accurate alpha soft error rate evaluation in SRAM memories. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Shyue-Kung Lu, Ming-Chang Chen, Yen-Chi Chen Error-tolerance evaluation and design techniques for motion estimation computing arrays. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Fabian Oboril, Ilias Sagar, Mehdi Baradaran Tahoori A-SOFT-AES: Self-adaptive software-implemented fault-tolerance for AES. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Gaurang Upasani, Xavier Vera, Antonio González 0001 Reducing DUE-FIT of caches by exploiting acoustic wave detectors for error recovery. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Loïc Zussa, Jean-Max Dutertre, Jessy Clédière, Assia Tria Power supply glitch induced faults on FPGA: An in-depth analysis of the injection mechanism. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Stefano Di Carlo, Salvatore Galfano, Marco Indaco, Paolo Prinetto Ef3S: An evaluation framework for flash-based systems. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Julian J. H. Pontes, Ney Calazans, Pascal Vivet Parity check for m-of-n delay insensitive codes. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Stefano Di Carlo, Giulio Gambardella, Marco Indaco, Ippazio Martella, Paolo Prinetto, Daniele Rolfo, Pascal Trotta Increasing the robustness of CUDA Fermi GPU-based systems. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44W. Prates, Letícia Maria Veiras Bolzani, Gurgen Harutyunyan, A. Davtyan, Fabian Vargas 0001, Yervant Zorian Integrating embedded test infrastructure in SRAM cores to detect aging. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Jeyavijayan Rajendran, Huan Zhang, Ozgur Sinanoglu, Ramesh Karri High-level synthesis for security and trust. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Michael G. Dimopoulos, Yi Gang, Mounir Benabdenbi, Lorena Anghel, Nacer-Eddine Zergainoh, Michael Nicolaidis Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Sotiris Tselonis, Vasilis Dimitsas, Dimitris Gizopoulos The functional and performance tolerance of GPUs to permanent faults in registers. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Mohamed Ben Jrad, Régis Leveugle Evaluating a low cost robustness improvement in SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Chiara Sandionigi, Olivier Héron, Clement Bertolini, Raphaël David When processors get old: Evaluation of BTI and HCI effects on performance and reliability. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Georgios Panagopoulos, Phillipp Riess, Peter Baumgartner 0002 Challenges of RF and mixed signal design under process variability. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Anna Vaskova, Marta Portela-García, Matteo Sonza Reorda Hardening of serial communication protocols for potentially critical systems in automotive applications: LIN bus. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Anand Raghunathan, Kaushik Roy 0001 Approximate computing: Energy-efficient computing with good-enough results. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44José Rodrigo Azambuja, Gustavo Brown, Fernanda Lima Kastensmidt, Luigi Carro Algorithm transformation methods to reduce software-only fault tolerance techniques' overhead. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Suvadeep Banerjee, Aritra Banerjee, Abhijit Chatterjee, Jacob A. Abraham Real-time checking of linear control systems using analog checksums. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Manolis Kaliorakis, Nikos Foutris, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Online error detection in multiprocessor chips: A test scheduling study. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Georgios Karakonstantis, David Atienza, Andy Burg Exploiting application resiliency for energy-efficient and adequately-reliable operation. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Loic Welter, Philippe Dreux, Jean-Michel Portal, Hassen Aziza Embedded high-precision frequency-based capacitor measurement system. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Georgios Tsiligiannis, Elena I. Vatajelu, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Aida Todri, Arnaud Virazel, Frederic Wrobel, Frédéric Saigné SRAM soft error rate evaluation under atmospheric neutron radiation and PVT variations. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Brice Ekobo Akoa, Emmanuel Simeu, Fritz Lebowsky Video decoder monitoring using non-linear regression. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Adrian Evans, Dan Alexandrescu, Enrico Costenaro, Liang Chen Hierarchical RTL-based combinatorial SER estimation. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Arkady Bramnik, Andrei Sherban, Norbert Seifert Timing vulnerability factors of sequential elements in modern microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Paolo Rech, Luigi Carro Experimental evaluation of GPUs radiation sensitivity and algorithm-based fault tolerance efficiency. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Qais Al-Gayem, Hong Liu, Haroon Khan, Andrew Richardson 0001 Scanning the strength of a test signal to monitor electrode degradation within bio-fluidic microsystems. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Hans-Joachim Wunderlich, Claus Braun, Sebastian Halder 0002 Efficacy and efficiency of algorithm-based fault-tolerance on GPUs. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Michail Maniatakos, Maria K. Michael, Yiorgos Makris Investigating the limits of AVF analysis in the presence of multiple bit errors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Enshan Yang, Keheng Huang, Yu Hu 0001, Xiaowei Li 0001, Jian Gong, Hongjin Liu, Bo Liu 0018 HHC: Hierarchical hardware checkpointing to accelerate fault recovery for SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Ioannis Voyiatzis, Costas Efstathiou, Cleo Sgouropoulou A low-cost input vector monitoring concurrent BIST scheme. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Bao Le, Dipanjan Sengupta, Andreas G. Veneris, Zissis Poulos Accelerating post silicon debug of deep electrical faults. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Nikos Andrikos, Massimo Violante, David Merodio Codinachs A fully-automated flow for ITAR-free rad-hard Atmel FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Zissis Poulos, Yu-Shen Yang, Andreas G. Veneris A failure triage engine based on error trace signature extraction. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Michael Nicolaidis, Panagiota Papavramidou Transparent BIST for ECC-based memory repair. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Sk Subidh Ali, Samah Mohamed Saeed, Ozgur Sinanoglu, Ramesh Karri Scan attack in presence of mode-reset countermeasure. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Ijeoma Anarado, Mohammad Ashraful Anam, Davide Anastasia, Fabio Verdicchio, Yiannis Andreopoulos Highly-reliable integer matrix multiplication via numerical packing. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Mauricio de Carvalho, Paolo Bernardi, Ernesto Sánchez 0001, Matteo Sonza Reorda, Oscar Ballan Increasing fault coverage during functional test in the operational phase. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Boyang Du, Matteo Sonza Reorda, Luca Sterpone, Luis Parra, Marta Portela-García, Almudena Lindoso, Luis Entrena Exploiting the debug interface to support on-line test of control flow errors. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Kedar Karmarkar, Spyros Tragoudas Error detection encoding for multi-threshold capture mechanism. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Jean DaRolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A smart test controller for scan chains in secure circuits. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Katerina Katsarou, Yiorgos Tsiatouhas, Angela Arapoyanni NBTI aging tolerance in pipeline based designs NBTI. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Shi-Yu Huang, Jeo-Yen Lee, Kun-Han Tsai, Wu-Tung Cheng At-speed BIST for interposer wires supporting on-the-spot diagnosis. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Alaa Aldin Al Hariri, Fabrice Monteiro, Loïc Siéler, Abbas Dandache A high throughput configurable parallel encoder architecture for Quasi-Cyclic Low-Density Parity-Check Codes. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44Kwanyeob Chae, Saibal Mukhopadhyay Error resilient logic circuits under dynamic variations. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
44 18th IEEE International On-Line Testing Symposium, IOLTS 2012, Sitges, Spain, June 27-29, 2012 Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  BibTeX  RDF
44Clement Bertolini, Olivier Héron, Nicolas Ventroux, François Marc Relation between HCI-induced performance degradation and applications in a RISC processor. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Antonio Sanchez-Clemente, Luis Entrena, Mario García-Valderas, Celia López-Ongil Logic masking for SET Mitigation Using Approximate Logic Circuits. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Zhen Gao 0001, Wenhui Yang, Xiang Chen 0007, Ming Zhao 0001, Jing Wang 0001 Fault missing rate analysis of the arithmetic residue codes based fault-tolerant FIR filter design. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Atieh Lotfi, Arash Bayat, Saeed Safari Architectural vulnerability aware checkpoint placement in a multicore processor. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Ameya Chaudhari, Jacob A. Abraham Stream cipher hash based execution monitoring (SCHEM) framework for intrusion detection on embedded processors. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Mohammed Shayan, Virendra Singh, Adit D. Singh, Masahiro Fujita SEU tolerant robust memory cell design. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Mohamed Abdelfattah, Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Hongyan Zhang 0004, Jörg Henkel, Hans-Joachim Wunderlich Transparent structural online test for reconfigurable systems. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Celia López-Ongil, Marta Portela-García, Mario García-Valderas, Anna Vaskova, Luis Entrena, Joaquín Rivas-Abalo, Alberto Martín-Ortega, Javier Martinez-Oter, S. Rodriguez-Bustabad, Ignacio Arruego SEU sensitivity of robust communication protocols. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Michael Nicolaidis, Vladimir Pasca, Lorena Anghel Through-silicon-via built-in self-repair for aggressive 3D integration. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Glenn H. Chapman, Israel Koren, Zahava Koren Do more camera pixels result in a better picture? Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Pedro Reviriego, Alfonso Sánchez-Macián, Juan Antonio Maestro Low Power embedded DRAM caches using BCH code partitioning. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Georgios Tsiligiannis, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Aida Todri, Arnaud Virazel, Antoine D. Touboul, Frederic Wrobel, Frédéric Saigné Evaluation of test algorithms stress effect on SRAMs under neutron radiation. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Eishi Ibe, Tadanobu Toba, Ken-ichi Shimbo, Hitoshi Taniguchi Fault-based reliable design-on-upper-bound of electronic systems for terrestrial radiation including muons, electrons, protons and low energy neutrons. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Rakesh Kumar Algorithmic techniques for robust applications. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Norhuzaimin Julai, Alexandre Yakovlev, Alexandre V. Bystrov Error detection and correction of single event upset (SEU) tolerant latch. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Esteve Amat, A. Asenov, Ramon Canal, Binjie Cheng, J.-Ll. Cruz, Zoran Jaksic, Miguel Miranda, Antonio Rubio 0001, Paul Zuber Analysis of FinFET technology on memories. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Zhen Wang 0001, Mark G. Karpovsky Reliable and secure memories based on algebraic manipulation correction codes. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Ariel Burg, Osnat Keren Functional level embedded self testing for Walsh transform based adaptive hardware. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Liang Chen 0014, Mehdi Baradaran Tahoori An efficient probability framework for error propagation and correlation estimation. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Sujan Pandey, Klaas Brink Soft-errors resilient logic optimization for low power. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Miguel Vilchis, Ramnath Venkatraman, Enrico Costenaro, Dan Alexandrescu A real-case application of a synergetic design-flow-oriented SER analysis. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Eberhard Böhl, Markus Ihle A fault attack robust TRNG. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Josep Altet, Diego Mateo, Didac Gómez On line monitoring of RF power amplifiers with embedded temperature sensors. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Paolo Rech, Caroline Aguiar, Ronaldo Rodrigues Ferreira, Christopher Frost 0002, Luigi Carro Neutron radiation test of graphic processing units. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Jackson Pachito, Celestino V. Martins, Jorge Semião, Marcelino Bicho Dos Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 The influence of clock-gating on NBTI-induced delay degradation. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Sabyasachi Deyati, Aritra Banerjee, Abhijit Chatterjee Pilot symbol driven monitoring of electrical degradation in RF transmitter systems using model anomaly diagnosis. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Adrian Evans, Michael Nicolaidis, Shi-Jie Wen, Dan Alexandrescu, Enrico Costenaro RIIF - Reliability information interchange format. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Mikhail Baklashov Event-driven on-line co-simulation with fault diagnostic. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Arwa Ben Dhia, Lirida A. B. Naviner, Philippe Matherat Analyzing and alleviating the impact of errors on an SRAM-based FPGA cluster. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Yang Lin, Mark Zwolinski SETTOFF: A fault tolerant flip-flop for building Cost-efficient Reliable Systems. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Shusuke Yoshimoto, Takuro Amashita, Masayoshi Yoshimura, Yusuke Matsunaga, Hiroto Yasuura, Shintaro Izumi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto Neutron-induced soft error rate estimation for SRAM using PHITS. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Ozgur Sinanoglu Test access mechanism for chips with spare identical cores. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Cinzia Bernardeschi, Luca Cassano, Andrea Domenici SEU-X: A SEu un-excitability prover for SRAM-FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Yaara Neumeier, Osnat Keren Punctured Karpovsky-Taubin binary robust error detecting codes for cryptographic devices. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Victor Tomashevich, Sudarshan Srinivasan, Fabian Foerg, Ilia Polian Cross-level protection of circuits against faults and malicious attacks. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Julian Wolf 0002, Bernhard Fechner, Theo Ungerer Fault coverage of a timing and control flow checker for hard real-time systems. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Dan Alexandrescu, Enrico Costenaro Towards optimized functional evaluation of SEE-induced failures in complex designs. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Michele Riga, Ernesto Sánchez 0001, Matteo Sonza Reorda On the functional test of L2 caches. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44Francisco R. Fernandes, Ricardo J. Machado 0001, José M. Ferreira 0001, Manuel G. Gericota Gatewaying IEEE 1149.1 and IEEE 1149.7 test access ports. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
44 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 13-15 July, 2011, Athens, Greece Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  BibTeX  RDF
44Valentin Gherman, Samuel Evain, Nathaniel Seymour, Yannick Bonhomme Generalized parity-check matrices for SEC-DED codes with fixed parity. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Jorge O. M. Esteves, Tiago H. Moita, Carlos B. Almeida, Marcelino B. Santos ICT: Interface software for the characterization and test of mixed-signal power cores. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Tomoo Inoue, Hayato Henmi, Yuki Yoshikawa, Hideyuki Ichihara High-level synthesis for multi-cycle transient fault tolerant datapaths. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
44Nahid Farhady Ghalaty, Mahdi Fazeli, Hossein Izadi Rad, Seyed Ghassem Miremadi Software-based control flow error detection and correction using branch triplication. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1100 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license