The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISCA"( http://dblp.L3S.de/Venues/ISCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isca

Publication years (Num. hits)
1973 (29) 1974 (38) 1975-1976 (42) 1977 (28) 1978 (39) 1979 (28) 1980 (41) 1981 (42) 1982 (35) 1983 (55) 1984 (47) 1985 (52) 1986 (51) 1987 (36) 1988 (51) 1989 (47) 1990 (35) 1991 (39) 1992 (65) 1993 (33) 1994 (35) 1995 (38) 1996 (29) 1997 (31) 1998 (34) 1999 (27) 2000 (30) 2001 (25) 2002 (28) 2003 (38) 2004 (32) 2005 (46) 2006 (39) 2007 (47) 2008 (38) 2009 (46) 2010 (49) 2011 (41) 2012 (48) 2013 (57) 2014 (47) 2015 (59) 2016 (58) 2017 (55) 2018 (65) 2019 (63) 2020 (83) 2021 (82) 2022 (74) 2023 (85)
Publication types (Num. hits)
inproceedings(2212) proceedings(50)
Venues (Conferences, Journals, ...)
ISCA(2262)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 570 occurrences of 350 keywords

Results
Found 2262 publication records. Showing 2262 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Minesh Patel, Jeremie S. Kim, Onur Mutlu The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhenning Wang, Jun Yang 0002, Rami G. Melhem, Bruce R. Childers, Youtao Zhang, Minyi Guo Quality of Service Support for Fine-Grained Sharing on GPUs. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiho Choi, Thomas Shull, María Jesús Garzarán, Josep Torrellas ShortCut: Architectural Support for Fast Object Access in Scripting Languages. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Trevor E. Carlson, Mehdi Alipour, Stefanos Kaxiras Non-Speculative Load-Load Reordering in TSO. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Seunghee Shin, James Tuck 0001, Yan Solihin Hiding the Long Latency of Persist Barriers Using Speculative Execution. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Matthew Hicks Clank: Architectural Support for Intermittent Computation. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hanna Alam, Tianhao Zhang, Mattan Erez, Yoav Etsion Do-It-Yourself Virtual Memory Translation. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R. Stanley Williams, Vivek Srikumar ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammet Mustafa Ozdal, Serif Yesil, Taemin Kim, Andrey Ayupov, John Greth, Steven M. Burns, Özcan Özturk 0001 Energy Efficient Architecture for Graph Analytics Accelerators. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Divya Mahajan 0001, Amir Yazdanbakhsh, Jongse Park, Bradley Thwaites, Hadi Esmaeilzadeh Towards Statistical Guarantees in Controlling Quality Tradeoffs for Approximate Acceleration. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jungrae Kim, Michael B. Sullivan 0001, Sangkug Lym, Mattan Erez All-Inclusive ECC: Thorough End-to-End Protection for Reliable Computer Memory. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daniel Wong 0001 Peak Efficiency Aware Scheduling for Highly Energy Proportional Servers. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Milad Hashemi, Khubaib, Eiman Ebrahimi, Onur Mutlu, Yale N. Patt Accelerating Dependent Cache Misses with an Enhanced Memory Controller. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yunho Oh, Keunsoo Kim, Myung Kuk Yoon, Jong Hyun Park, Yongjun Park 0001, Won Woo Ro, Murali Annavaram APRES: Improving Cache Efficiency by Exploiting Load Characteristics on GPUs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu-Hsin Chen, Joel S. Emer, Vivienne Sze Eyeriss: A Spatial Architecture for Energy-Efficient Dataflow for Convolutional Neural Networks. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ikuo Magaki, Moein Khazraee, Luis Vega Gutierrez, Michael Bedford Taylor ASIC Clouds: Specializing the Datacenter. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qiang Wu, Qingyuan Deng, Lakshmi Ganesh, Chang-Hong Hsu, Yun Jin, Sanjeev Kumar, Bin Li, Justin Meza, Yee Jiun Song Dynamo: Facebook's Data Center-Wide Power Management System. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hsiang-Yun Cheng, Jishen Zhao, Jack Sampson, Mary Jane Irwin, Aamer Jaleel, Yu Lu, Yuan Xie 0001 LAP: Loop-Block Aware Inclusion Properties for Energy-Efficient Asymmetric Last Level Caches. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dong-Wan Kim, Mattan Erez RelaxFault Memory Repair. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Zhenhua Wang 0007, Xiaofeng Hou, Haopeng Chen, Xiaoyao Liang, Minyi Guo Power Attack Defense: Securing Battery-Backed Data Centers. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Siyang Wang 0001, Xiangyu Zhang 0011, Yuxuan Li, Ramin Bashizade, Song Yang, Chris Dwyer, Alvin R. Lebeck Accelerating Markov Random Field Inference Using Molecular Optical Gibbs Sampling Units. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Mejbah Ul Alam, Abdullah Muzahid Production-Run Software Failure Diagnosis via Adaptive Communication Tracking. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robert LiKamWa, Yunhui Hou, Yuan Gao, Mia Polansky, Lin Zhong 0001 RedEye: Analog ConvNet Image Sensor Architecture for Continuous Mobile Vision. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Channoh Kim, Sungmin Kim, Hyeon-Gyu Cho, Doo-Young Kim, Jaehyeok Kim, Young H. Oh, Hakbeom Jang, Jae W. Lee Short-Circuit Dispatch: Accelerating Virtual Machine Interpreters on Embedded Processors. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Song Han 0003, Xingyu Liu, Huizi Mao, Jing Pu, Ardavan Pedram, Mark A. Horowitz, William J. Dally EIE: Efficient Inference Engine on Compressed Deep Neural Network. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuan Yao 0009, Zhonghai Lu Opportunistic Competition Overhead Reduction for Expediting Critical Section in NoC Based CMPs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Akanksha Jain, Calvin Lin Back to the Future: Leveraging Belady's Algorithm for Improved Cache Replacement. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ziqiang Huang, Andrew D. Hilton, Benjamin C. Lee Decoupling Loads for Nano-Instruction Set Computers. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yanqi Zhou, Henry Hoffmann, David Wentzlaff CASH: Supporting IaaS Customers with a Sub-core Configurable Architecture. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Donggyu Kim, Adam M. Izraelevitz, Christopher Celio, Hokeun Kim, Brian Zimmer, Yunsup Lee, Jonathan Bachrach, Krste Asanovic Strober: Fast and Accurate Sample-Based Energy Simulation for Arbitrary RTL. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Joshua San Miguel, Natalie D. Enright Jerger The Anytime Automaton. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chang Hyun Park 0001, Taekyung Heo, Jaehyuk Huh 0001 Efficient Synonym Filtering and Scalable Delayed Translation for Hybrid Virtual Caching. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hari Cherupalli, Rakesh Kumar 0002, John Sartori Exploiting Dynamic Timing Slack for Energy Efficiency in Ultra-Low-Power Embedded Systems. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lunkai Zhang, Brian Neely, Diana Franklin, Dmitri B. Strukov, Yuan Xie 0001, Frederic T. Chong Mellow Writes: Extending Lifetime in Resistive Memories through Selective Slow Write Backs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Brandon Reagen, Paul N. Whatmough, Robert Adolf, Saketh Rama, Hyunkwang Lee, Sae Kyu Lee, José Miguel Hernández-Lobato, Gu-Yeon Wei, David M. Brooks Minerva: Enabling Low-Power, Highly-Accurate Deep Neural Network Accelerators. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jayneel Gandhi, Mark D. Hill, Michael M. Swift Agile Paging: Exceeding the Best of Nested and Shadow Paging. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sagi Shahar, Shai Bergman, Mark Silberstein ActivePointers: A Case for Software Address Translation on GPUs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jorge Albericio, Patrick Judd, Tayler H. Hetherington, Tor M. Aamodt, Natalie D. Enright Jerger, Andreas Moshovos Cnvlutin: Ineffectual-Neuron-Free Deep Neural Network Computing. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hoseok Seol, Wongyu Shin, Jaemin Jang, Jungwhan Choi, Jinwoong Suh, Lee-Sup Kim Energy Efficient Data Encoding in DRAM Channels Exploiting Data Value Similarity. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jin Wang 0010, Norm Rubin, Albert Sidelnik, Sudhakar Yalamanchili LaPerm: Locality Aware Scheduler for Dynamic Parallelism on GPUs. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Myung Kuk Yoon, Keunsoo Kim, Sangpil Lee, Won Woo Ro, Murali Annavaram Virtual Thread: Maximizing Thread-Level Parallelism beyond GPU Scheduling Limit. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Faissal M. Sleiman, Thomas F. Wenisch Efficiently Scaling Out-of-Order Cores for Simultaneous Multithreading. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kevin Hsieh, Eiman Ebrahimi, Gwangsun Kim, Niladrish Chatterjee, Mike O'Connor, Nandita Vijaykumar, Onur Mutlu, Stephen W. Keckler Transparent Offloading and Mapping (TOM): Enabling Programmer-Transparent Near-Data Processing in GPU Systems. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Henry Duwe, Xun Jian 0002, Daniel Petrisko, Rakesh Kumar 0002 Rescuing Uncorrectable Fault Patterns in On-Chip Memories through Error Pattern Transformation. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shaoli Liu, Zidong Du, Jinhua Tao, Dong Han, Tao Luo, Yuan Xie 0001, Yunji Chen, Tianshi Chen 0002 Cambricon: An Instruction Set Architecture for Neural Networks. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qiumin Xu, Hyeran Jeon, Keunsoo Kim, Won Woo Ro, Murali Annavaram Warped-Slicer: Efficient Intra-SM Slicing through Dynamic Resource Partitioning for GPU Multiprogramming. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Arjomand, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das Boosting Access Parallelism to PCM-Based Main Memory. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Duckhwan Kim 0001, Jaeha Kung, Sek M. Chai, Sudhakar Yalamanchili, Saibal Mukhopadhyay Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Prashant J. Nair, Vilas Sridharan, Moinuddin K. Qureshi XED: Exposing On-Die Error Detection Information for Strong Memory Reliability. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael A. Laurenzano, Yunqi Zhang, Jiang Chen, Lingjia Tang, Jason Mars PowerChop: Identifying and Managing Non-critical Units in Hybrid Processor Architectures. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jungrae Kim, Michael B. Sullivan 0001, Esha Choukse, Mattan Erez Bit-Plane Compression: Transforming Data for Better Compression in Many-Core Architectures. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yanqi Zhou, David Wentzlaff MITTS: Memory Inter-arrival Time Traffic Shaping. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jayesh Gaur, Alaa R. Alameldeen, Sreenivas Subramoney Base-Victim Compression: An Opportunistic Cache Compression Architecture. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yunqi Zhang, David Meisner, Jason Mars, Lingjia Tang Treadmill: Attributing the Source of Tail Latency through Precise Load Testing and Statistical Inference. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Raghavendra Pradyumna Pothukuchi, Amin Ansari, Petros G. Voulgaris, Josep Torrellas Using Multiple Input, Multiple Output Formal Control to Maximize Resource Efficiency in Architectures. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christoffer Dall, Shih-Wei Li, Jin Tack Lim, Jason Nieh, Georgios Koloventzos ARM Virtualization: Performance and Architectural Implications. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christopher Torng, Moyang Wang, Christopher Batten Asymmetry-Aware Work-Stealing Runtimes. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang 0032, Jishen Zhao, Yongpan Liu, Yu Wang 0002, Yuan Xie 0001 PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yipeng Huang 0001, Ning Guo, Mingoo Seok, Yannis P. Tsividis, Simha Sethumadhavan Evaluation of an Analog Accelerator for Linear Algebra. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Boncheol Gu, Andre S. Yoon, Duck-Ho Bae, Insoon Jo, Jinyoung Lee, Jonghyun Yoon, Jeong-Uk Kang, Moonsang Kwon, Chanho Yoon, Sangyeun Cho, Jaeheon Jeong, Duckhyun Chang Biscuit: A Framework for Near-Data Processing of Big Data Workloads. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hung-Wei Tseng 0001, Qianchen Zhao, Yuxiao Zhou 0004, Mark Gahagan, Steven Swanson Morpheus: Creating Application Objects Efficiently for Heterogeneous Computing. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David Koeplinger, Raghu Prabhakar, Yaqi Zhang 0001, Christina Delimitrou, Christos Kozyrakis, Kunle Olukotun Automatic Generation of Efficient Accelerators for Reconfigurable Hardware. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero Future Vector Microprocessor Extensions for Data Aggregations. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mingyu Gao 0001, Christina Delimitrou, Dimin Niu, Krishna T. Malladi, Hongzhong Zheng, Bob Brennan, Christos Kozyrakis DRAF: A Low-Power DRAM-Based Reconfigurable Acceleration Fabric. Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 43rd ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2016, Seoul, South Korea, June 18-22, 2016 Search on Bibsonomy ISCA The full citation details ... 2016 DBLP  BibTeX  RDF
1David J. Palframan, Nam Sung Kim, Mikko H. Lipasti COP: to compress and protect main memory. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amir Rahmati, Matthew Hicks, Daniel E. Holcomb, Kevin Fu Probable cause: the deanonymizing effects of approximate DRAM. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Subhasis Das, Tor M. Aamodt, William J. Dally SLIP: reducing wire energy in the memory hierarchy. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nachiappan Chidambaram Nachiappan, Haibo Zhang 0005, Jihyun Ryoo, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravishankar R. Iyer 0001, Chita R. Das VIP: virtualizing IP chains on handheld platforms. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lluc Alvarez, Lluís Vilanova, Miquel Moretó, Marc Casas, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé, Mateo Valero Coherence protocol for transparent management of scratchpad memories in shared memory manycore architectures. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiangyao Yu, Syed Kamran Haider, Ling Ren 0001, Christopher W. Fletcher, Albert Kwon, Marten van Dijk, Srinivas Devadas PrORAM: dynamic prefetcher for oblivious RAM. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Leeor Peled, Shie Mannor, Uri C. Weiser, Yoav Etsion Semantic locality and context-based prefetching using reinforcement learning. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gaurav Chadha, Scott A. Mahlke, Satish Narayanasamy Accelerating asynchronous programs through event sneak peek. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen-Han Ho, Sung Jin Kim, Karthikeyan Sankaralingam Efficient execution of memory access phases using dataflow specialization. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Snehasish Kumar, Arrvindh Shriraman, Naveen Vedula Fusion: design tradeoffs in coherent cache hierarchies for accelerators. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sangpil Lee, Keunsoo Kim, Gunjae Koo, Hyeran Jeon, Won Woo Ro, Murali Annavaram Warped-compression: enabling power efficient GPUs through register compression. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Takuya Nakaike, Rei Odaira, Matthew Gaudet, Maged M. Michael, Hisanobu Tomari Quantitative comparison of hardware transactional memory for Blue Gene/Q, zEnterprise EC12, Intel Core, and POWER8. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David Lo 0003, Liqun Cheng, Rama Govindaraju, Parthasarathy Ranganathan, Christos Kozyrakis Heracles: improving resource efficiency at scale. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Timothy G. Rogers, Daniel R. Johnson, Mike O'Connor, Stephen W. Keckler A variable warp size architecture. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel Lustig, Caroline Trippel, Michael Pellauer, Margaret Martonosi ArMOR: defending against memory consistency model mismatches in heterogeneous architectures. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel S. McFarlin, Craig B. Zilles Branch vanguard: decomposing branch functionality into prediction and resolution instructions. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cedomir Segulja, Tarek S. Abdelrahman Clean: a race detector with cleaner semantics. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Feng Liu, Heejin Ahn, Stephen R. Beard, Taewook Oh, David I. August DynaSpAM: dynamic spatial architecture mapping using out of order instruction schedules. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alexandros Daglis, Stanko Novakovic, Edouard Bugnion, Babak Falsafi, Boris Grot Manycore network interfaces for in-memory rack-scale computing. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ishwar Bhati, Zeshan Chishti, Shih-Lien Lu, Bruce L. Jacob Flexible auto-refresh: enabling scalable and energy-efficient DRAM refresh reductions. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zidong Du, Robert Fasthuber, Tianshi Chen 0002, Paolo Ienne, Ling Li 0001, Tao Luo, Xiaobing Feng 0002, Yunji Chen, Olivier Temam ShiDianNao: shifting vision processing closer to the sensor. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, Zhiyoong Foo, Benjamin P. Kempke, Gyouho Kim, Ronald G. Dreslinski, David T. Blaauw, Prabal Dutta MBus: an ultra-low power interconnect bus for next generation nanopower systems. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Svilen Kanev, Juan Pablo Darago, Kim M. Hazelwood, Parthasarathy Ranganathan, Tipp Moseley, Gu-Yeon Wei, David M. Brooks Profiling a warehouse-scale computer. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yongjun Lee, Jongwon Kim, Hakbeom Jang, Hyunggyun Yang, Jangwoo Kim, Jinkyu Jeong, Jae W. Lee A fully associative, tagless DRAM cache. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shin-Ying Lee, Akhil Arunkumar, Carole-Jean Wu CAWA: coordinated warp scheduling and cache prioritization for critical warp acceleration of GPGPU workloads. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junwhan Ahn, Sungjoo Yoo, Onur Mutlu, Kiyoung Choi PIM-enabled instructions: a low-overhead, locality-aware processing-in-memory architecture. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jian Huang 0006, Anirudh Badam, Moinuddin K. Qureshi, Karsten Schwan Unified address translation for memory-mapped SSDs with FlashMap. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bruno Cardoso Lopes, Rafael Auler, Luiz Ramos, Edson Borin, Rodolfo Azevedo SHRINK: reducing the ISA complexity via instruction recycling. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robert Locke Callan, Alenka G. Zajic, Milos Prvulovic FASE: finding amplitude-modulated side-channel emanations. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junwhan Ahn, Sungpack Hong, Sungjoo Yoo, Onur Mutlu, Kiyoung Choi A scalable processing-in-memory accelerator for parallel graph processing. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wenhao Li, Yubin Xia, Haibo Chen 0001, Binyu Zang, Haibing Guan Reducing world switches in virtualized environment with flexible cross-world calls. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nandita Vijaykumar, Gennady Pekhimenko, Adwait Jog, Abhishek Bhowmick 0002, Rachata Ausavarungnirun, Chita R. Das, Mahmut T. Kandemir, Todd C. Mowry, Onur Mutlu A case for core-assisted bottleneck acceleration in GPUs: enabling flexible data compression with assist warps. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rakesh Komuravelli, Matthew D. Sinclair, Johnathan Alsop, Muhammad Huzaifa, Maria Kotsifakou, Prakalp Srivastava, Sarita V. Adve, Vikram S. Adve Stash: have your scratchpad and cache it too. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Zhang 0007, Guangyu Sun 0003, Xian Zhang 0001, Weiqi Zhang, Weisheng Zhao, Tao Wang 0004, Yun Liang 0001, Yongpan Liu, Yu Wang 0002, Jiwu Shu Hi-fi playback: tolerating position errors in shift operations of racetrack memory. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jin Wang 0010, Norm Rubin, Albert Sidelnik, Sudhakar Yalamanchili Dynamic thread block launch: a lightweight execution mechanism to support irregular applications on GPUs. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2262 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license