The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ITC"( http://dblp.L3S.de/Venues/ITC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/citc

Publication years (Num. hits)
1981 (76) 1982 (106) 1983 (114) 1984 (116) 1985 (137) 1986 (143) 1988 (136) 1989 (121) 1990 (140) 1991 (143) 1992 (132) 1993 (136) 1994 (132) 1995 (137) 1996 (126) 1997 (138) 1998 (160) 1999 (165) 2000 (123) 2001 (128) 2002 (188) 2003 (195) 2004 (207) 2005 (182) 2006 (119) 2007 (216) 2008 (146) 2009 (140) 2010 (139) 2011 (112) 2012 (96) 2013 (102) 2014 (149) 2015 (76) 2016 (103) 2017 (88) 2018 (60) 2019 (95) 2020 (112) 2021 (100) 2022 (107) 2023 (70)
Publication types (Num. hits)
inproceedings(5364) proceedings(47)
Venues (Conferences, Journals, ...)
ITC(5411)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 220 occurrences of 191 keywords

Results
Found 5411 publication records. Showing 5411 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Mengyun Liu, Renjian Pan, Fangming Ye, Xin Li 0001, Krishnendu Chakrabarty, Xinli Gu Fine-Grained Adaptive Testing Based on Quality Prediction. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michihiro Shintani, Michiko Inoue, Yoshiyuki Nakamura Artificial Neural Network Based Test Escape Screening Using Generative Model. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Trevor Ault Optimizing the Use of Simulations for Commissioning with Systems Engineering Principles and Objective Analysis. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Basim Shanyour, Spyros Tragoudas Detection of Low Power Trojans in Standard Cell Designs using Built-in Current Sensors. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shravan K. Chaganti, Abalhassan Sheikh, Sumit Dubey, Frank Ankapong, Nitin Agarwal, Degang Chen 0001 Fast and accurate linearity test for DACs with various architectures using segmented models. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew Stern, Ulbert Botero, Bicky Shakya, Hao-Ting Shen, Domenic Forte, Mark M. Tehranipoor EMFORCED: EM-based Fingerprinting Framework for Counterfeit Detection with Demonstration on Remarked and Cloned ICs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1I-De Huang, Pallav Gupta, Loganathan Lingappan, Vijay Gangaram Online Scan Diagnosis : A Novel Approach to Volume Diagnosis. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Martin Andraud, Laura Isabel Galindez Olascoaga, Yichuan Lu, Yiorgos Makris, Marian Verhelst On the use of Bayesian Networks for Resource-Efficient Self-Calibration of Analog/RF ICs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fakir Sharif Hossain, Michihiro Shintani, Michiko Inoue, Alex Orailoglu Variation-Aware Hardware Trojan Detection through Power Side-channel. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Majid Ahadi Dolatsara, Huan Yu, Jose Ale Hejase, Wiren Dale Becker, Madhavan Swaminathan Polynomial Chaos modeling for jitter estimation in high-speed links. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Leonidas Katselas, Alkis A. Hatzopoulos, Hailong Jiao, Christos Papameletis, Erik Jan Marinissen On-Chip Toggle Generators to Provide Realistic Conditions during Test of Digital 2D-SoCs and 3D-SICs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi-Cheng Kung, Kuen-Jong Lee, Sudhakar M. Reddy Generating Compact Test Patterns for DC and AC Faults Using One ATPG Run. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthew Nero, Chuanhe Jay Shan, Li-C. Wang, Nik Sumikawa Concept Recognition in Production Yield Data Analytics. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Guoliang Li 0004, Qinfu Yang, Krishnendu Chakrabarty Access-Time Minimization in the IEEE 1687 Network Using Broadcast and Hardware Parallelism. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ting-Yu Shen, Chia-Cheng Pai, Tsai-Chieh Chen, James Chien-Mo Li, Samuel Pan Test methodology for PCHB/PCFB Asynchronous Circuits. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nicole Fern, Kwang-Ting (Tim) Cheng Pre-silicon Formal Verification of JTAG Instruction Opcodes for Security. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qicheng Huang, Chenlei Fang, Soumya Mittal, R. D. Shawn Blanton Improving Diagnosis Efficiency via Machine Learning. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Aleksa Damljanovic, Matteo Sonza Reorda, Giovanni Squillero A New Technique to Generate Test Sequences for Reconfigurable Scan Networks. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Meng Li 0004, Kaveh Shamsi, Yier Jin, David Z. Pan TimingSAT: Decamouflaging Timing-based Logic Obfuscation. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 IEEE International Test Conference, ITC 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018 Search on Bibsonomy ITC The full citation details ... 2018 DBLP  BibTeX  RDF
1Shi Jin 0001, Zhaobo Zhang, Krishnendu Chakrabarty, Xinli Gu Self-Learning Health-Status Analysis for a Core Router System. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Nasim Imtiaz Khan, Swaroop Ghosh Test of Supply Noise for Emerging Non-Volatile Memory. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Muhammad Yasin, Ozgur Sinanoglu Towards Provably Secure Logic Locking for Hardening Hardware Security Dissertation Summary: IEEE TTTC E.J. McCluskey Doctoral Thesis Award Competition. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kiyotaka Ichiyama, Takashi Kusaka, Masahiro Ishida A Stressed Eye Testing Module for Production Test of 30-Gbps NRZ Signal Interfaces. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Moritz Fieback, Mottaqiallah Taouil, Said Hamdioui Testing Resistive Memories: Where are We and What is Missing? Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Teresa L. McLaurin, Ignatius P. Lawrence Improving Power, Performance and Area with Test: A Case Study. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hayk T. Grigoryan, Samvel K. Shoukourian, Gurgen Harutyunyan, Yervant Zorian, Costas Argyrides Advanced ECC-Based FIT Rate Mitigation Technique for Automotive SoCs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sameer Chillarige, Atul Chhabra, Anil Malik, Bharath Nandakumar, Joe Swenton, Krishna Chakravadhanula Improving Diagnosis Resolution and Performance at High Compression Ratios. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sourav Das, Fei Su, Sreejit Chakravarty A PVT-Resilient No-Touch DFT Methodology for Prebond TSV Testing. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ying Wang 0001, Wen Li, Huawei Li 0001, Xiaowei Li 0001 Lightweight Timing Channel Protection for Shared DRAM Controller. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz On Close-to-Functional Test Sequences. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mengyun Liu, Lixue Xia, Yu Wang 0002, Krishnendu Chakrabarty Fault Tolerance for RRAM-Based Matrix Operations. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian, Anteneh Gebregiorgis, Mohammad Saber Golanbari, Rajendra Bishnoi, Mehdi Baradaran Tahoori Modeling and Testing of Aging Faults in FinFET Memories for Automotive Applications. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Will Howell, Friedrich Hapke, Edward Brazil, Srikanth Venkataraman, R. Datta, Andreas Glowatz, Wilfried Redemund, J. Schmerberg, Anja Fast, Janusz Rajski DPPM Reduction Methods and New Defect Oriented Test Methods Applied to Advanced FinFET Technologies. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Francisco E. Rangel-Patino, José Ernesto Rayas-Sánchez, Nagib Hakim Transmitter and Receiver Equalizers Optimization Methodologies for High-Speed Links in Industrial Computer Platforms Post-Silicon Validation. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fei Su, Prashant Goteti Improving Analog Functional Safety Using Data-Driven Anomaly Detection. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Grigor Tshagharyan, Hayk T. Grigoryan, Gurgen Harutyunyan, Yervant Zorian Defect injection, Fault Modeling and Test Algorithm Generation Methodology for STT-MRAM. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Friedrich Hapke, Peter C. Maxwell Total Critical Area Based Testing. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen, Ferenc Fodor, Arnita Podpod, Michele Stucchi, Yu-Rong Jian, Cheng-Wen Wu Solutions to Multiple Probing Challenges for Test Access to Multi-Die Stacked Integrated Circuits. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li-C. Wang An Autonomous System View To Apply Machine Learning. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tal Kogan, Yehonatan Abotbol, Gabriele Boschi, Gurgen Harutyunyan, N. Martirosyan, Yervant Zorian Advanced Uniformed Test Approach For Automotive SoCs. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arjun Chaudhuri, Krishnendu Chakrabarty Analysis of Process Variations, Defects, and Design-Induced Coupling in Memristors. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nathan Fulton, André Platzer Safe AI for CPS (Invited Paper). Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pallav Gupta An Effective Methodology for Automated Diagnosis of Functional Pattern Failures to Support Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ali Safari Khatouni, Marco Mellia, Marco Ajmone Marsan, Stefan Alfredsson, Jonas Karlsson 0001, Anna Brunström, Ozgu Alay, Andra Lutu, Cise Midoglu, Vincenzo Mancuso Speedtest-Like Measurements in 3G/4G Networks: The MONROE Experience. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yue Li 0035, Akram Abouseif, Luigi Iannone, Damien Saucez LISP-Views: Monitoring LISP at Large Scale. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gaetano Manzo, Marco Ajmone Marsan, Gianluca Rizzo Performance Modeling of Vehicular Floating Content in Urban Settings. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrea Baiocchi, Ion Turcanu A Model for the Optimization of Beacon Message Age-of-Information in a VANET. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rachel Mailach, Douglas G. Down Scheduling Jobs with Estimation Errors for Multi-server Systems. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stanislav Lange, Alexej Grigorjew, Thomas Zinner, Phuoc Tran-Gia, Michael Jarschel A Multi-objective Heuristic for the Optimization of Virtual Network Function Chain Placement. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Timothy Mark VanEtten, Amy Charissa Williams, Jiahuan Deng, Feng Wang 0017, Lixin Gao 0001 SoC-Based Implementation of a Lightweight Label Switching Router. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Olav N. Østerbø, Daniel Zucchetto, Kashif Mahmood, Andrea Zanella, Ole Grøndalen State Modulated Traffic Models for Machine Type Communications. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ramon Aparicio-Pardo, Lucile Sassatelli A Green Video Control Plane with Fixed-Mobile Convergence and Cloud-RAN. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michael Seufert, Stanislav Lange, Markus Meixner Automated Decision Making Based on Pareto Frontiers in the Context of Service Placement in Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Antonio Montieri, Domenico Ciuonzo, Giuseppe Aceto, Antonio Pescapè Anonymity Services Tor, I2P, JonDonym: Classifying in the Dark. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wenqin Shao, Jean-Louis Rougier, Antoine Paris, François Devienne, Mateusz Viste One-to-One Matching of RTT and Path Changes. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marcel Großmann, Clemens Klug Monitoring Container Services at the Network Edge. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Henry-Joseph Audéoud, Martin Heusse Experimental Comparison of Routing Protocols for Wireless Sensors Networks: Routing Overhead and Asymmetric Links. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Runa Barik, Michael Welzl, Ahmed Mustafa Elmokashfi, Stein Gjessing, Safiqul Islam fling: A Flexible Ping for Middlebox Measurements. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Korian Edeline, Benoit Donnet A First Look at the Prevalence and Persistence of Middleboxes in the Wild. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zakaria Ye, Francesco De Pellegrini, Rachid El Azouzi, Lorenzo Maggi, Tania Jiménez Quality-Aware DASH Video Caching Schemes at Mobile Edge. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Raffaele Bolla, Florin Ciucu (eds.) 29th International Teletraffic Congress, ITC 2017, Genoa, Italy, September 4-8, 2017 Search on Bibsonomy ITC The full citation details ... 2017 DBLP  BibTeX  RDF
1Nelson Antunes, Vladas Pipiras, Darryl Veitch Skampling for the Flow Duration Distribution. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saurabh Verma, Arvind Narayanan, Zhi-Li Zhang Multi-low-rank Approximation for Traffic Matrices. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Junaid Ahmed Khan, Cédric Westphal, Yacine Ghamri-Doudane Offloading Content with Self-Organizing Mobile Fogs. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Baptiste Jonglez, Bruno Gaujal Distributed and Adaptive Routing Based on Game Theory. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Koong Chai, Vasilis Sourlas, George Pavlou Providing Information Resilience Through Modularity-Based Caching in Perturbed Information-Centric Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zaid Allybokus, Konstantin Avrachenkov, Jeremie Leguay, Lorenzo Maggi Real-Time Fair Resource Allocation in Distributed Software Defined Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Esa Hyytiä, Rhonda Righter, Jorma T. Virtamo, Lauri Viitasaari Value (Generating) Functions for the MX/G/1 Queue. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1José Suárez-Varela, Pere Barlet-Ros Towards a NetFlow Implementation for OpenFlow Software-Defined Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rachid El Azouzi, Ahmed El Ouadrhiri, Balakrishna J. Prabhu, Daniel Sadoc Menasché, Olivier Brun Incentive-Rewarding Mechanisms to Stimulate Participation in Heterogeneous DTNs. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bart Post, Sem C. Borst Load-Driven Cell Assignment Algorithms for Dense Pico-Cell Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nicola Bui, Joerg Widmer Data-Driven Evaluation of Anticipatory Networking in LTE Networks. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thirupathaiah Vasantam, Arpan Mukhopadhyay, Ravi R. Mazumdar Mean-Field Analysis of Loss Models with Mixed-Erlang Distributions under Power-of-d Routing. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joseph Hollinghurst, Ayalvadi Ganesh 0001, Timothy Baugé Latency Reduction In Communication Networks Using Redundant Messages. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sameer Chillarige, Anil Malik, Sharjinder Singh, Joe Swenton, Krishna Chakravadhanula High throughput multiple device diagnosis system. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Surajit Kumar Roy, Chandan Giri Design-for-test and test time optimization for 3D SOCs. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yan Dong, Grady Giles, GuoLiang Li, Jeff Rearick, John Schulze, James Wingfield, Tim Wood 0001 Maximizing scan pin and bandwidth utilization with a scan routing fabric. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xijiang Lin On applying scan based structural test for designs with dual-edge triggered flip-flops. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zeye Liu 0001, Phillip Fynan, Ronald D. Blanton Front-end layout reflection for test chip design. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shi Jin 0001, Zhaobo Zhang, Krishnendu Chakrabarty, Xinli Gu Changepoint-based anomaly detection in a core router system. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saurabh Gupta 0005, Al Crouch, Jennifer Dworak, Daniel Engels Increasing IJTAG bandwidth and managing security through parallel locking-SIBs. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Raphael Robertazzi, Micheal Scheurman, Matt Wordeman, Shurong Tian, Christy Tyberg Analytical test of 3D integrated circuits. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Masahiro Ishida, Kiyotaka Ichiyama A jitter separation and BER estimation method for asymmetric total jitter distributions. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rana Elnaggar, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori Run-time hardware trojan detection using performance counters. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Souhir Mhira, Vincent Huard, Ahmed Benhassain, Florian Cacho, David Meyer, Sylvie Naudet, Abhishek Jain 0003, C. R. Parthasarathy, Alain Bravaix Cognitive approach to support dynamic aging compensation. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Matthew Beckler, Ronald D. Blanton Fault simulation acceleration for TRAX dictionary construction using GPUs. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Srikanth Venkataraman, Irith Pomeranz, Shraddha Bodhe, M. Enamul Amyeen Test reordering for improved scan chain diagnosis using an enhanced defect diagnosis procedure. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz POSTT: Path-oriented static test compaction for transition faults in scan circuits. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ankush Srivastava, Adit D. Singh, Virendra Singh, Kewal K. Saluja Exploiting path delay test generation to develop better TDF tests for small delay defects. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arani Sinha, Sujay Pandey, Ayush Singhal, Alodeep Sanyal, Alan Schmaltz DFM-aware fault model and ATPG for intra-cell and inter-cell defects. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li-C. Wang, Sebastian Siatkowski, Chuanhe Jay Shan, Matthew Nero, Nikolas Sumikawa, LeRoy Winemberg Some considerations on choosing an outlier method for automotive product lines. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Constantinos Xanthopoulos, Peter Sarson, Heinz Reiter, Yiorgos Makris Automated die inking: A pattern recognition-based approach. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sergei Odintsov, Artur Jutman, Sergei Devadze Marginal PCB assembly defect detection on DDR3/4 memory bus. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sabyasachi Deyati, Barry J. Muldrey, Byunghoo Jung, Abhijit Chatterjee Concurrent built in test and tuning of beamforming MIMO systems using learning assisted performance optimization. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Krishna Chakravadhanula, Vivek Chickermane, Paul Cunningham, Brian Foutz, Dale Meehl, Louis Milano, Christos Papameletis, David Scott, Steev Wilcox Advancing test compression to the physical dimension. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sonal Pinto, Michael S. Hsiao RTL functional test generation using factored concolic execution. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stefan Holst, Eric Schneider, Koshi Kawagoe, Michael A. Kochte, Kohei Miyase, Hans-Joachim Wunderlich, Seiji Kajihara, Xiaoqing Wen Analysis and mitigation or IR-Drop induced scan shift-errors. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christopher J. Lukas, Farah B. Yahya, Benton H. Calhoun Modeling trans-threshold correlations for reducing functional test time in ultra-low power systems. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subhadip Kundu, Kuldip Kumar, Rishi Kumar, Rohit Kapur Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 5411 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license