The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MICRO"( http://dblp.L3S.de/Venues/MICRO )

URL (DBLP): http://dblp.uni-trier.de/db/conf/micro

Publication years (Num. hits)
1972 (15) 1973 (34) 1974 (47) 1976-1977 (28) 1978 (29) 1979 (15) 1980 (23) 1981 (21) 1982 (23) 1984 (35) 1985 (21) 1986 (21) 1987 (23) 1988 (26) 1989 (32) 1990 (35) 1991 (27) 1992 (43) 1993 (28) 1994 (28) 1995 (38) 1996 (31) 1997 (36) 1998 (29) 1999 (30) 2000 (35) 2001 (32) 2002 (37) 2003 (38) 2004 (32) 2005 (34) 2006 (43) 2007 (36) 2008 (43) 2009 (55) 2010 (46) 2011 (45) 2012 (41) 2013 (40) 2014 (54) 2015 (62) 2016 (63) 2017 (62) 2018 (75) 2019 (81) 2020 (83) 2021 (95) 2022 (84) 2023 (102)
Publication types (Num. hits)
inproceedings(1986) proceedings(50)
Venues (Conferences, Journals, ...)
MICRO(2036)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 490 occurrences of 311 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Behzad Salami 0001, Osman S. Unsal, Adrián Cristal Kestelman Comprehensive Evaluation of Supply Voltage Underscaling in FPGA on-Chip Memories. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mao Ye, Clayton Hughes, Amro Awad Osiris: A Low-Cost Mechanism to Enable Restoration of Secure Non-Volatile Memories. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Youngeun Kwon, Minsoo Rhu Beyond the Memory Wall: A Case for Memory-Centric HPC System for Deep Learning. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Po-An Tsai, Changping Chen, Daniel Sánchez 0003 Adaptive Scheduling for Systems with Asymmetric Memory Hierarchies. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Da Zhang 0004, Vilas Sridharan, Xun Jian 0002 Exploring and Optimizing Chipkill-Correct for Persistent Memory Based on High-Density NVRAMs. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mostafa Mahmoud, Kevin Siu, Andreas Moshovos Diffy: a Déjà vu-Free Differential Deep Neural Network Accelerator. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Stefanos Kaxiras The Superfluous Load Queue. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Steve Margerm, Amirali Sharifian, Apala Guha, Arrvindh Shriraman, Gilles Pokam TAPAS: Generating Parallel Accelerators from Parallel Programs. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Alian, Seungwon Min, Hadi Asgharimoghaddam, Ashutosh Dhar, Dong Kai Wang, Thomas Roewer, Adam J. McPadden, Oliver O'Halloran, Deming Chen, Jinjun Xiong, Daehoon Kim, Wen-Mei W. Hwu, Nam Sung Kim Application-Transparent Near-Memory Processing Architecture with Memory Channel Network. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zacharias Hadjilambrou, Shidhartha Das, Marco A. Antoniades, Yiannakis Sazeides Leveraging CPU Electromagnetic Emanations for Voltage Noise Characterization. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi CEASER: Mitigating Conflict-Based Cache Attacks via Encrypted-Address and Remapping. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rui Zhang 0068, Calvin Deutschbein, Peng Huang 0005, Cynthia Sturton End-to-End Automated Exploit Generation for Validating the Security of Processor Designs. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Caroline Trippel, Daniel Lustig, Margaret Martonosi CheckMate: Automated Synthesis of Hardware Exploits and Security Litmus Tests. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michael B. Sullivan 0001, Siva Kumar Sastry Hari, Brian Zimmer, Timothy Tsai 0002, Stephen W. Keckler SwapCodes: Error Codes for Hardware-Software Cooperative GPU Pipeline Error Detection. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tao Chen 0045, Shreesha Srinath, Christopher Batten, G. Edward Suh An Architectural Framework for Accelerating Dynamic Parallel Algorithms on Reconfigurable Hardware. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ananda Samajdar, Parth Mannan, Kartikay Garg, Tushar Krishna GeneSys: Enabling Continuous Learning through Neural Network Evolution in Hardware. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Srikant Bharadwaj, Guilherme Cox, Tushar Krishna, Abhishek Bhattacharjee Scalable Distributed Last-Level TLBs Using Low-Latency Interconnects. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yirong Lv, Bin Sun, Qingyi Luo, Jing Wang 0055, Zhibin Yu 0001, Xuehai Qian CounterMiner: Mining Big Performance Data from Hardware Counters. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ben Lin, Michael B. Healy, Rustam Miftakhutdinov, Philip G. Emma, Yale N. Patt Duplicon Cache: Mitigating Off-Chip Memory Bank and Bank Group Conflicts Via Data Duplication. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yaohua Wang, Arash Tavakkol, Lois Orosa 0001, Saugata Ghose, Nika Mansouri-Ghiasi, Minesh Patel, Jeremie S. Kim, Hasan Hassan, Mohammad Sadrosadati, Onur Mutlu Reducing DRAM Latency via Charge-Level-Aware Look-Ahead Partial Restoration. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ya-Shuai Lü, Libo Huang, Li Shen 0007, Zhiying Wang 0003 Unleashing the power of GPU for physically-based rendering via dynamic ray shuffling. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mostafa Mahmoud, Bojian Zheng, Alberto Delmas Lascorz, Felix Heide, Jonathan Assouline, Paul Boucher, Emmanuel Onzon, Andreas Moshovos IDEAL: image denoising accelerator. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Swamit S. Tannu, Zachary A. Myers, Prashant J. Nair, Douglas M. Carmean, Moinuddin K. Qureshi Taming the instruction bandwidth of quantum computers via hardware-managed error correction. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Md. Enamul Haque, Yuxiong He, Sameh Elnikety, Thu D. Nguyen, Ricardo Bianchini, Kathryn S. McKinley Exploiting heterogeneity for tail latency and energy efficiency. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhaoxia Deng, Lunkai Zhang, Nikita Mishra, Henry Hoffmann, Frederic T. Chong Memory cocktail therapy: a general learning-based framework to optimize dynamic tradeoffs in NVMs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mike O'Connor, Niladrish Chatterjee, Donghyuk Lee, John M. Wilson 0002, Aditya Agrawal, Stephen W. Keckler, William J. Dally Fine-grained DRAM: energy-efficient DRAM for extreme bandwidth systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1George Papadimitriou 0001, Manolis Kaliorakis, Athanasios Chatzidimitriou, Dimitris Gizopoulos, Peter Lawthers, Shidhartha Das Harnessing voltage margins for energy efficiency in multicore CPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sandeep R. Agrawal, Sam Idicula, Arun Raghavan, Evangelos Vlachos, Venkatraman Govindaraju, Venkatanathan Varadarajan, Cagri Balkesen, Georgios Giannikis, Charlie Roth, Nipun Agarwal, Eric Sedlar A many-core architecture for in-memory data processing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xulong Tang, Orhan Kislal, Mahmut T. Kandemir, Mustafa Karaköy Data movement aware computation partitioning. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vivek Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie S. Kim, Michael A. Kozuch, Onur Mutlu, Phillip B. Gibbons, Todd C. Mowry Ambit: in-memory accelerator for bulk bitwise operations using commodity DRAM technology. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Daphne I. Gorman, Matthew R. Guthaus, Jose Renau Architectural opportunities for novel dynamic EMI shifting (DEMIS). Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ugljesa Milic, Oreste Villa, Evgeny Bolotin, Akhil Arunkumar, Eiman Ebrahimi, Aamer Jaleel, Alex Ramírez, David W. Nellans Beyond the socket: NUMA-aware GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jongse Park, Hardik Sharma, Divya Mahajan 0001, Joon Kyung Kim, Preston Olds, Hadi Esmaeilzadeh Scale-out acceleration for machine learning. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hoda Naghibijouybari, Khaled N. Khasawneh, Nael B. Abu-Ghazaleh Constructing and characterizing covert channels on GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Youngsok Kim, Jae-Eon Jo, Hanhwi Jang, Minsoo Rhu, Hanjun Kim 0001, Jangwoo Kim GPUpd: a fast and scalable multi-GPU architecture using cooperative projection and distribution. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pedro Duarte, Pedro Tomás, Gabriel Falcão 0001 SCRATCH: an end-to-end application-aware soft-GPGPU architecture and trimming tool. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kaisheng Ma, Xueqing Li, Jinyang Li 0002, Yongpan Liu, Yuan Xie 0001, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan Incidental computing on IoT nonvolatile processors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Caiwen Ding, Siyu Liao, Yanzhi Wang, Zhe Li 0001, Ning Liu 0007, Youwei Zhuo, Chao Wang 0051, Xuehai Qian, Yu Bai 0004, Geng Yuan, Xiaolong Ma, Yipeng Zhang, Jian Tang 0008, Qinru Qiu, Xue Lin, Bo Yuan 0001 CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jorge Albericio, Alberto Delmas, Patrick Judd, Sayeh Sharify, Gerard O'Leary, Roman Genov, Andreas Moshovos Bit-pragmatic deep neural network computing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Daniel A. Jiménez, Elvira Teran Multiperspective reuse prediction. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shuangchen Li, Dimin Niu, Krishna T. Malladi, Hongzhong Zheng, Bob Brennan, Yuan Xie 0001 DRISA: a DRAM-based reconfigurable in-situ accelerator. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Khaled N. Khasawneh, Nael B. Abu-Ghazaleh, Dmitry Ponomarev 0001, Lei Yu 0001 RHMD: evasion-resilient hardware malware detectors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hillery C. Hunter, Jaime Moreno 0002, Joel S. Emer, Daniel Sánchez 0003 (eds.) Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2017, Cambridge, MA, USA, October 14-18, 2017 Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuanfeng Peng, Benjamin P. Wood, Joseph Devietti PARSNIP: performant architecture for race safety with no impact on precision. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Parker Hill, Animesh Jain, Mason Hill, Babak Zamirai, Chang-Hong Hsu, Michael A. Laurenzano, Scott A. Mahlke, Lingjia Tang, Jason Mars DeftNN: addressing bottlenecks for DNN execution on GPUs via synapse vector elimination and near-compute data fission. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Prathmesh Kallurkar, Smruti R. Sarangi Schedtask: a hardware-assisted task scheduler. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuanwei Fang, Chen Zou 0001, Aaron J. Elmore, Andrew A. Chien UDP: a programmable accelerator for extract-transform-load workloads and more. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1John Kloosterman, Jonathan Beaumont, Davoud Anoushe Jamshidi, Jonathan Bailey, Trevor N. Mudge, Scott A. Mahlke Regless: just-in-time operand staging for GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Weilong Cui, Timothy Sherwood Estimating and understanding architectural risk. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1AmirAli Abdolrashidi, Devashree Tripathy, Mehmet Esat Belviranli, Laxmi Narayan Bhuyan, Daniel Wong 0001 Wireframe: supporting data-dependent parallelism through dependency graph execution in GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Seunghee Shin, Satish Kumar Tirukkovalluri, James Tuck 0001, Yan Solihin Proteus: a flexible and fast software supported hardware logging approach for NVM. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christian DeLozier, Ariel Eizenberg, Shiliang Hu, Gilles Pokam, Joseph Devietti TMI: thread memory isolation for false sharing repair. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gunjae Koo, Kiran Kumar Matam, Te I, H. V. Krishna Giri Narra, Jing Li 0021, Hung-Wei Tseng 0001, Steven Swanson, Murali Annavaram Summarizer: trading communication with computing near storage. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samira Manabi Khan, Chris Wilkerson, Zhe Wang 0023, Alaa R. Alameldeen, Donghyuk Lee, Onur Mutlu Detecting and mitigating data-dependent DRAM failures by exploiting current memory content. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke Mirage cores: the illusion of many out-of-order cores using in-order hardware. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiang Fu 0003, Michiel Adriaan Rol, Cornelis Christiaan Bultink, J. van Someren 0001, Nader Khammassi, Imran Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, Carmen G. Almudéver, Leonardo DiCarlo, Koen Bertels An experimental microarchitecture for a superconducting quantum processor. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dimitrios Skarlatos 0002, Nam Sung Kim, Josep Torrellas Pageforge: a near-memory content-aware page-merging architecture. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ji Kim, Shunning Jiang, Christopher Torng, Moyang Wang, Shreesha Srinath, Berkin Ilbeyi, Khalid Al-Hawaj, Christopher Batten Using intra-core loop-task accelerators to improve the productivity and performance of task-based parallel programs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joonmoo Huh, James Tuck 0001 Improving the effectiveness of searching for isomorphic chains in superword level parallelism. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Haibo Zhang 0005, Prasanna Venkatesh Rengasamy, Shulin Zhao 0001, Nachiappan Chidambaram Nachiappan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravi R. Iyer 0001, Chita R. Das Race-to-sleep + content caching + display caching: a recipe for energy-efficient video streaming on handhelds. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Onur Mutlu, Srinivas Devadas Banshee: bandwidth-efficient DRAM caching via software/hardware cooperation. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ali JavadiAbhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong Optimized surface code communication in superconducting quantum computers. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rachata Ausavarungnirun, Joshua Landgraf, Vance Miller, Saugata Ghose, Jayneel Gandhi, Christopher J. Rossbach, Onur Mutlu Mosaic: a GPU memory manager with application-transparent support for multiple page sizes. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ang Li 0006, Wenfeng Zhao, Shuaiwen Leon Song BVF: enabling significant on-chip power savings via bit-value-favor for throughput processors. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ivan Tanasic, Isaac Gelado, Marc Jordà, Eduard Ayguadé, Nacho Navarro Efficient exception handling support for GPUs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Reza Yazdani, José-María Arnau, Antonio González 0001 UNFOLD: a memory-efficient speech recognizer using on-the-fly WFST composition. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guoyang Chen, Lei Zhang, Richa Budhiraja, Xipeng Shen, Youfeng Wu Efficient support of position independence on non-volatile memory. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar 0002, John Sartori Software-based gate-level information flow security for IoT systems. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Michael Pellauer RTLcheck: verifying the memory consistency of RTL designs. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zecheng He, Ruby B. Lee How secure is your cache against side-channel attacks? Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yashwant Marathe, Nagendra Gulur, Jee Ho Ryoo, Shuang Song 0007, Lizy K. John CSALT: context switch aware large TLB. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiancong Wang, Sakthikumaran Sambasivam, Yan Solihin, James Tuck 0001 Hardware supported persistent object address translation. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yipeng Huang 0001, Ning Guo, Mingoo Seok, Yannis P. Tsividis, Kyle T. Mandli, Simha Sethumadhavan Hybrid analog-digital solution of nonlinear partial differential equations. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thomas J. Repetti, João Pedro Cerqueira, Martha A. Kim, Mingoo Seok Pipelining a triggered processing element. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rami Sheikh, Harold W. Cain, Raguram Damodaran Load value prediction via path-based address prediction: avoiding mispredictions due to conflicting stores. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aditya Agrawal, Josep Torrellas, Sachin Idgunji Xylem: enhancing vertical thermal conduction in 3D processor-memory stacks. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhen Zheng, Chanyoung Oh, Jidong Zhai, Xipeng Shen, Youngmin Yi, Wenguang Chen Versapipe: a versatile programming framework for pipelined computing on GPU. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ting-Jung Chang, Zhuozhi Yao, Paul J. Jackson, Barry P. Rand, David Wentzlaff Architectural tradeoffs for biodegradable computing. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abhishek Bhattacharjee Using branch predictors to predict brain activity in brain-machine implants. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Opeoluwa Matthews, Daniel J. Sorin Architecting hierarchical coherence protocols for push-button parametric verification. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arun Subramaniyan 0001, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das Cache automaton. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bharat Sukhwani, Thomas Roewer, Charles L. Haymes, Kyu-Hyoun Kim, Adam J. McPadden, Daniel M. Dreps, Dean Sanner, Jan van Lunteren, Sameh W. Asaad Contutto: a novel FPGA-based prototyping platform enabling innovation in the memory subsystem of a server class processor. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shibo Wang, Engin Ipek Reducing data movement energy via online data clustering and encoding. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elvira Teran, Zhe Wang 0023, Daniel A. Jiménez Perceptron learning for reuse prediction. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad Husni Santriaji, Henry Hoffmann GRAPE: Minimizing energy for GPU applications with performance requirements. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Li-Wen Chang, Izzat El Hajj, Christopher I. Rodrigues, Juan Gómez-Luna, Wen-mei W. Hwu Efficient kernel synthesis for performance portable programming. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cheng-Chieh Huang, Rakesh Kumar 0003, Marco Elver, Boris Grot, Vijay Nagarajan C3D: Mitigating the NUMA bottleneck via coherent DRAM caches. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yang Hu 0001, Tao Li 0006 Towards efficient server architecture for virtualized network function deployment: Implications and implementations. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Stefanos Kaxiras Racer: TSO consistency via race detection. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hardik Sharma, Jongse Park, Divya Mahajan 0001, Emmanuel Amaro, Joon Kyung Kim, Chenkai Shao, Asit Mishra, Hadi Esmaeilzadeh From high-level deep neural models to FPGAs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arthur Perais, Fernando A. Endo, André Seznec Register sharing for equality prediction. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amirali Sharifian, Snehasish Kumar, Apala Guha, Arrvindh Shriraman Chainsaw: Von-neumann accelerators to leverage fused instruction chains. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tao Chen 0045, G. Edward Suh Efficient data supply for hardware accelerators with prefetching and access/execute decoupling. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Heonjae Ha, Ardavan Pedram, Stephen Richardson, Shahar Kvatinsky, Mark Horowitz Improving energy efficiency of DRAM by exploiting half page row access. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Manoj Alwani, Han Chen, Michael Ferdman, Peter A. Milder Fused-layer CNN accelerators. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mengjia Yan 0001, Yasser Shalabi, Josep Torrellas ReplayConfusion: Detecting cache-based covert channel attacks using record and replay. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mikhail Kazdagli, Vijay Janapa Reddi, Mohit Tiwari Quantifying and improving the efficiency of hardware-based mobile malware detectors. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tae Jun Ham, Lisa Wu 0001, Narayanan Sundaram, Nadathur Satish, Margaret Martonosi Graphicionado: A high-performance and energy-efficient accelerator for graph analytics. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kaige Yan, Xingyao Zhang, Jingweijia Tan, Xin Fu Redefining QoS and customizing the power management policy to satisfy individual mobile users. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu Ji 0002, Youhui Zhang, Shuangchen Li, Ping Chi, Cihang Jiang, Peng Qu, Yuan Xie 0001, Wenguang Chen NEUTRAMS: Neural network transformation and co-design under neuromorphic hardware constraints. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license