The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Wei He 0015, Andrés Otero, Eduardo de la Torre, Teresa Riesgo Automatic generation of identical routing pairs for FPGA implemented DPL logic. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eric Sivertson Keynote 2 - "Reconfigurable Computing and Trust: Foundational technologies to enable trusted reconfigurable platforms". Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Victor Silva 0001, Jorge R. Fernandes, Mário P. Véstias, Horácio C. Neto A High-Performance Reconfigurable Computing architecture using a magnetic configuration memory. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nikolaos Alachiotis 0001, Simon A. Berger, Alexandros Stamatakis A versatile UDP/IP based PC ↔ FPGA communication platform. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andres Upegui, Julien Izui, Gilles Curchod Fault mitigation by means of dynamic partial reconfiguration of Virtex-5 FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Marc Reichenbach, Ralf Seidler, Dietmar Fey Heterogeneous computer architectures: An image processing pipeline for optical metrology. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Grant Martin Keynote 1 - The once and future FPGA: The confluence of configurable processing and reconfigurable technology. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Frederik Grüll, Michael Kunz, Michael Hausmann, Udo Kebschull An implementation of 3D Electron Tomography on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001, Mikel Garay, Javier Del Ser Robustness of different TMR granularities in shared wishbone architectures on SRAM FPGA. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michael Schmidt 0004, Dietmar Fey Akers's wavefront planner - One of the fastest stencil-based path planners on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001, Unai Bidarte, Jaime Jimenez Robustness Analysis of Different AES Implementations on SRAM Based FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thomas Schweizer, Philipp Schlicker, Sven Eisenhardt, Tommy Kuhn, Wolfgang Rosenstiel Low-Cost TMR for Fault-Tolerance on Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Patrick S. Ostler, Michael J. Wirthlin, Joshua E. Jensen FPGA Bootstrapping on PCIe Using Partial Reconfiguration. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xabier Iturbe, Khaled Benkrid, Ali Ebrahim, Chuan Hong, Tughrul Arslan, Imanol Martinez Snake: An Efficient Strategy for the Reuse of Circuitry and Partial Computation Results in High-Performance Reconfigurable Computing. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luis Andrés Cardona, Jharna Agrawal, Yi Guo, Joan Oliver, Carles Ferrer 0001 Performance-Area Improvement by Partial Reconfiguration for an Aerospace Remote Sensing Application. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Peter M. Athanas, Jürgen Becker 0001, René Cumplido (eds.) 2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011 Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  BibTeX  RDF
1Alexander Pacholik, Johannes Klöckner, Marcus Müller 0002, Irina Gushchina, Wolfgang Fengler 0001 LiSARD: LabVIEW Integrated Softcore Architecture for Reconfigurable Devices. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Benjamin Thielmann, Thorsten Wink, Jens Huthmann, Andreas Koch 0001 RAP: More Efficient Memory Access in Highly Speculative Execution on Reconfigurable Adaptive Computers. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexander Pacholik, Marcus Müller 0002, Wolfgang Fengler 0001, Torsten Machleidt, Karl-Heinz Franke GPU vs FPGA: Example Application on White Light Interferometry. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zoltan Endre Rakosi, Zheng Wang 0020, Anupam Chattopadhyay Adaptive Energy-Efficient Architecture for WCDMA Channel Estimation. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Neal Oliver, Rahul R. Sharma, Stephen Chang 0003, Bhushan Chitlur, Elkin Garcia, Joseph Grecco, Aaron Grier, Nelson Ijih, Yaping Liu, Pratik Marolia, Henry Mitchel, Suchit Subhaschandra, Arthur Sheiman, Tim Whisonant, Prabhat Gupta A Reconfigurable Computing System Based on a Cache-Coherent Fabric. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Naveed Imran, Ronald F. DeMara Heterogeneous Concurrent Error Detection (hCED) Based on Output Anticipation. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John Aylward, Catherine H. Crawford, Ken Inoue, Scott Lekuch, Kay Müller, Mark Nutter, Hartmut Penner, Kai Schleupen, Jimi Xenidis Reconfigurable Systems and Flexible Programming for Hardware Design, Verification and Software Enablement for System-on-a-Chip Architectures. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carl Ahlberg, Jörgen Lidholm, Fredrik Ekstrand, Giacomo Spampinato, Mikael Ekström, Lars Asplund GIMME - A General Image Multiview Manipulation Engine. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kazuei Hironaka, Hideharu Amano Power Centric Application Mapping for Dynamically Reconfigurable Processor Array with Dual Vdd and Dual Vth. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hanaa M. Hussain, Khaled Benkrid, Ahmet T. Erdogan, Huseyin Seker Highly Parameterized K-means Clustering on FPGAs: Comparative Results with GPPs and GPUs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada Rainbow: An OS Extension for Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Elif Bilge Kavun, Tolga Yalçin RAM-Based Ultra-Lightweight FPGA Implementation of PRESENT. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Surya Narayanan, Daniel Chillet, Sébastien Pillement, Ioannis Sourdis Hardware OS Communication Service and Dynamic Memory Management for RSoCs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Suvarna Mane, Lyndon Judge, Patrick Schaumont An Integrated Prime-Field ECDLP Hardware Accelerator with High-Performance Modular Arithmetic Units. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bernhard Jungk, Jürgen Apfelbeck Area-Efficient FPGA Implementations of the SHA-3 Finalists. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Schaeferling, Gundolf Kiefer Object Recognition on a Chip: A Complete SURF-Based System on a Single FPGA. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1João Bispo, Nuno Miguel Cardanha Paulino, João M. P. Cardoso, João Canas Ferreira From Instruction Traces to Specialized Reconfigurable Arrays. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Teresa Cervero, Sebastián López, Roberto Sarmiento, Tannous Frangieh, Peter Athanas Scalable Models for Autonomous Self-Assembled Reconfigurable Systems. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew G. Schmidt, Ron Sass Improving FPGA Design and Evaluation Productivity with a Hardware Performance Monitoring Infrastructure. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wei He 0015, Eduardo de la Torre, Teresa Riesgo A Precharge-Absorbed DPL Logic for Reducing Early Propagation Effects on FPGA Implementations. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lu Sun, Hoang Le, Viktor K. Prasanna Optimizing Decomposition-Based Packet Classification Implementation on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dimitris Bekiaris, George Economakos, Efstathios Sotiriou-Xanthopoulos, Dimitrios Soudris Low-Power Reconfigurable Component Utilization in a High-Level Synthesis Flow. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ameer Abdelhadi, Guy G. F. Lemieux Configuration Bitstream Reduction for SRAM-based FPGAs by Enumerating LUT Input Permutations. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Naveed Imran, Ronald F. DeMara A Self-Configuring TMR Scheme Utilizing Discrepancy Resolution. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tobias Becker, Qiwei Jin, Wayne Luk, Stephen Weston Dynamic Constant Reconfiguration for Explicit Finite Difference Option Pricing. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Drausio Linardi Rossi, Vanderlei Bonato, Eduardo Marques, João Miguel Gago Pontes de Brito Lima A PID Controller Applied to the Gain Control of a CMOS Camera Using Reconfigurable Computing. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1R. Zarate-Martïnez, Fernando Peña-Campos, J. Vazquez Castillo, Ramón Parra-Michel Arbitrary Distribution Random Variable Generator for Channel Emulators. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eduardo Romero-Aguirre, Ramón Parra-Michel, Roberto Carrasco-Alvarez, Aldo G. Orozco-Lugo Architecture Based on Array Processors for Data-Dependent Superimposed Training Channel Estimation. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jose Hugo Barron-Zambrano, César Torres-Huitzil, Jose Juan Garcia-Hernandez FPGA-based CPG Robot Locomotion Modulation Using a Fuzzy Scheme and Visual Information. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Olivier Serres, Vikram K. Narayana, Tarek A. El-Ghazawi An Architecture for Reconfigurable Multi-core Explorations. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1J. C. Peña-Ramos, Ramón Parra-Michel Network on Chip Architectures for High Performance Digital Signal Processing Using a Configurable Core. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Diana Göhringer, Lukas Meder, Michael Hübner 0001, Jürgen Becker 0001 Adaptive Multi-client Network-on-Chip Memory. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Antony W. Savich, Medhat Moussa Resource Efficient Arithmetic Effects on RBM Neural Network Solution Quality Using MNIST. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1João Bispo, João M. P. Cardoso Techniques for Dynamically Mapping Computations to Coprocessors. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ahmad Salman, Marcin Rogawski, Jens-Peter Kaps Efficient Hardware Accelerator for IPSec Based on Partial Reconfiguration on Xilinx FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rubén Salvador, Andrés Otero, Javier Mora 0001, Eduardo de la Torre, Lukás Sekanina, Teresa Riesgo Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Azadeh Nazemi, Cesar Ortega-Sanchez, Iain Murray 0002 Digital Talking Book Player for the Visually Impaired Using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masatoshi Nakamura, Masato Inagi, Kazuya Tanigawa, Tetsuo Hironaka, Masayuki Sato, Takashi Ishiguro EDA Environment for Evaluating a New Switch-Block-Free Reconfigurable Architecture. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Malte Baesler, Sven-Ole Voigt, Thomas Teufel FPGA Implementations of Radix-10 Digit Recurrence Fixed-Point and Floating-Point Dividers. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xabier Iturbe, Khaled Benkrid, Tughrul Arslan, Chuan Hong, Imanol Martinez Empty Resource Compaction Algorithms for Real-Time Hardware Tasks Placement on Partially Reconfigurable FPGAs Subject to Fault Ocurrence. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hamed Sajjadi Kia, Cristinel Ababei Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cesar Ortega-Sanchez MiniMIPS: An 8-Bit MIPS in an FPGA for Educational Purposes. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pedro Cervantes Lozano, Luis Fernando González Pérez, Andrés David García García Analysis of Parallel Sorting Algorithms in K-best Sphere-Decoder Architectures for MIMO Systems. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mieczyslaw Jessa, Lukasz Matuszewski Enhancing the Randomness of a Combined True Random Number Generator Based on the Ring Oscillator Sampling Method. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thomas Feller 0002, Sunil Malipatlolla, Michael Kasper, Sorin A. Huss dcTPM: A Generic Architecture for Dynamic Context Management. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thilo Pionteck, Christoph Osterloh, Carsten Albrecht Linking Formal Description and Simulation of Runtime Reconfigurable Systems. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michal Varchola, Tim Güneysu, Oliver Mischke MicroECC: A Lightweight Reconfigurable Elliptic Curve Crypto-processor. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Adriano K. Sanches, João M. P. Cardoso, Alexandre C. B. Delbem Identifying Merge-Beneficial Software Kernels for Hardware Implementation. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1German Leon, Germán Fabregat, José M. Claver Automatic Type Inference for Resynthesis on Hardware Description Languages. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1L. R. Vela-Garcia, J. Vazquez Castillo, Ramón Parra-Michel, Alejandro Castillo Atoche High-Speed Stochastic Processes Generator Based on Sum-of-Sinusoids for Channel Emulation. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhilei Chai, Jianbo Shi Improving KLT in Embedded Systems by Processing Oversampling Video Sequence in Real-Time. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rémi Busseuil, Lyonel Barthe, Gabriel Marchesan Almeida, Luciano Ost, Florent Bruguier, Gilles Sassatelli, Pascal Benoit, Michel Robert, Lionel Torres Open-Scale: A Scalable, Open-Source NOC-based MPSoC for Design Space Exploration. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yun Qu, Yi-Hua E. Yang, Viktor K. Prasanna Multi-stream Regular Expression Matching on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luis Manuel Ledesma-Carrillo, Eduardo Cabal-Yepez, René de Jesús Romero-Troncoso, Arturo Garcia-Perez, Roque Alfredo Osornio-Rios, Tobia D. Carozzi Reconfigurable FPGA-Based Unit for Singular Value Decomposition of Large m x n Matrices. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dongdong Chen, Mihai Sima Fixed-Point CORDIC-Based QR Decomposition by Givens Rotations on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rafael A. Arce-Nazario, José R. Ortiz-Ubarri Enumeration of Costas Arrays Using GPUs and FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Karl Pereira, Peter Athanas, Heshan Lin, Wu Feng 0001 Spectral Method Characterization on FPGA and GPU Accelerators. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shivam Bhasin, Sylvain Guilley, Youssef Souissi, Tarik Graba, Jean-Luc Danger Efficient Dual-Rail Implementations in FPGA Using Block RAMs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Guillermo Conde, Gregory W. Donohoe Reconfigurable Block Floating Point Processing Elements in Virtex Platforms. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeffrey B. Goeders, Guy G. F. Lemieux, Steven J. E. Wilton Deterministic Timing-Driven Parallel Placement by Simulated Annealing Using Half-Box Window Decomposition. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alberto Nannarelli FPGA Based Acceleration of Decimal Operations. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Hübner 0001, Carsten Tradowsky, Diana Göhringer, Lars Braun, Florian Thoma, Jörg Henkel, Jürgen Becker 0001 Dynamic Processor Reconfiguration. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Moinuddin Sayed, Phillip H. Jones Characterizing Non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-Based Thermometers. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marcus R. Perrett, Izzat Darwazeh A Simple Ethernet Stack Implementation in VHDL to Enable FPGA Logic Reconfigurability. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jacob Couch, Peter Athanas An Analysis of Implanted Antennas in Xilinx FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christian de Schryver, Ivan Shcherbakov, Frank Kienle, Norbert Wehn, Henning Marxen, Anton Kostiuk, Ralf Korn An Energy Efficient FPGA Accelerator for Monte Carlo Option Pricing with the Heston Model. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ye Lu 0003, John V. McCanny, Sakir Sezer The Impact of Global Routing on the Performance of NoCs in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yohei Hori, Hyunho Kang, Toshihiro Katashita, Akashi Satoh Pseudo-LFSR PUF: A Compact, Efficient and Reliable Physical Unclonable Function. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hui Zhu, Sébastien Le Beux, Nataliya Yakymets, Ian O'Connor Using Self-Reconfiguration to Increase Manufacturing Yield of CNTFET-based Architectures. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Benno Lomb, Tim Güneysu Decrypting HDCP-protected Video Streams Using Reconfigurable Hardware. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cuautëmoc Chävez Corona, Edgar Ferrer Moreno, Francisco Rodríguez-Henríquez Hardware Design of a 256-Bit Prime Field Multiplier Suitable for Computing Bilinear Pairings. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mark G. Arnold Configuring Field-Programmable Robot Arrays. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Malèk Channoufi, Pierre Lecoy, Rabah Attia, Bruno Delacressonniere, S. Garcia Toward All Optical Interconnections in Chip Multiprocessor (2). Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rizwan A. Ashraf, Ouns Mouri, Rami Jadaa, Ronald F. DeMara Design-for-Diversity for Improved Fault-Tolerance of TMR Systems on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Markus Happe, Andreas Agne, Christian Plessl Measuring and Predicting Temperature Distributions on FPGAs at Run-Time. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pei Liu 0003, Fatemeh O. Ebrahim, Ahmed Hemani, Kolin Paul A Coarse-Grained Reconfigurable Processor for Sequencing and Phylogenetic Algorithms in Bioinformatics. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Srinivas Boppu, Frank Hannig, Jürgen Teich, Roberto Perez-Andrade Towards Symbolic Run-Time Reconfiguration in Tightly-Coupled Processor Arrays. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lennin C. Yllescas-Calderon, Adrian J. Espino-Orozco, Ramón Parra-Michel, Luis Fernando González Pérez Design and Implementation of a Simplified Turbo Decoder for 3GPP2. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1David M. Webster, Marcin Lukowiak Versatile FPGA Architecture for Skein Hashing Algorithm. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Piotr Stepien, John Cobb Configuration Sharing Optimized Placment and Routing. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ouiza Dahmoune, Robert de B. Johnston Applying Model-Checking to Post-Silicon-Verification: Bridging the Specification-Realisation Gap. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Francisco J. Quiles 0002, Manuel Ortiz, María Brox, Carlos Diego Moreno-Moreno, Javier Hormigo, Julio Villalba UCORE: Reconfigurable Platform for Educational Purposes. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrew G. Schmidt, William V. Kritikos, Ron Sass, Erik K. Anderson, Matthew French Merging Programming Models and On-chip Networks to Meet the Programmable and Performance Needs of Multi-core Systems on a Programmable Chip. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Julien Francq, Céline Thuillet Unfolding Method for Shabal on Virtex-5 FPGAs: Concrete Results. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license