The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Yen-Tai Lai, Ping-Tsung Wang Hierarchical interconnection structures for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Andrew Lim 0001, Venkat Thanvantri, Sartaj Sahni Planar topological routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Shashidhar Thakur, Yao-Wen Chang, Martin D. F. Wong, S. Muthukrishnan 0001 Algorithms for an FPGA switch module routing problem with application to global routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Maggie Zhiwei Kang, Wayne Wei-Ming Dai, Tom Dillinger, David P. LaPotin Delay bounded buffered tree construction for timing driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Total Wire Length, DBB-tree, SPT, Floorplanning, Buffer Insertion, Delay Bounds, Elmore Delay, MST
11Chingwei Yeh, Chi-Shong Wang On the integration of partitioning and global routing for rectilinear placement problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Chunghee Kim, Hyunchul Shin A performance-driven logic emulation system: FPGA network design and performance-driven partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Robert C. Carden IV, Jianmin Li, Chung-Kuan Cheng A global router with a theoretical bound on the optimal solution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Dongsheng Wang 0012, Ernest S. Kuh Performance-Driven Interconnect Global Routing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Carl Ebeling, Larry McMurchie, Scott Hauck, Steven M. Burns Placement and routing tools for the Triptych FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Vijayshri Maheshwari, Joel Darnauer, John Ramirez, Wayne Wei-Ming Dai Design of FPGAs with Area I/O for Field Programmable MCM. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Tianxiong Xue, Ernest S. Kuh Post routing performance optimization via multi-link insertion and non-uniform wiresizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF post routing performance optimization, link insertion and wiresizing, delay skew, routing area, delay
11Chingwei Yeh, Lung-Tien Liu, Chung-Kuan Cheng, T. C. Hu, S. Ahmed, M. Liddel Block-oriented programmable design with switching network interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Jagannathan Narasimham, Kazuo Nakajima, Chong S. Rim, Anton T. Dahbura Yield enhancement of programmable ASIC arrays by reconfiguration of circuit placements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Massoud Pedram, Bahman S. Nobandegani, Bryan Preas Design and analysis of segmented routing channels for row-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Suphachai Sutanthavibul, Eugene Shragowitz, Rung-Bin Lin An adaptive timing-driven placement for high performance VLSIs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Kurt Mehlhorn, Stefan Näher A faster compaction algorithm with automatic jog insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Patrick Groeneveld A multiple layer contour-based gridless channel router. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11John D. Provence, S. Naganathan A parallel distributed processing approach to VLSI global routing. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Suphachai Sutanthavibul, Eugene Shragowitz An Adaptive Timing-Driven Layout for High Speed VLSI. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Joseph F. JáJá, S. Alice Wu On routing two-terminal nets in the presence of obstacles. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11G. D. Adams, Carlo H. Séquin Template Style Considerations for Sea-of-Gates Layout Generation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Ali A. Minai, Ronald D. Williams, F. W. Blake A Discrete Heuristics Approach to Predictive Evaluation of Semi-Custom IC Layouts. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
11Vijay S. Bobba, J. W. Smith A parameter-driven router. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
11Rostam Joobbani, Daniel P. Siewiorek WEAVER: a knowledge-based routing expert. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF OPS5
Displaying result #501 - #524 of 524 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license