The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Breeta SenGupta, Erik Larsson Test planning and test access mechanism design for stacked chips using ILP. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1C. J. Clark, Víctor H. Champac Hot topic session 12B: Stay relevant with standards-based DFT. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carolina Metzler, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel TSV aware timing analysis and diagnosis in paths with multiple TSVs. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andres Viveros-Wacher, Ricardo Alejos, Liliana Alvarez, Israel Diaz-Castro, Brenda Marcial, Gaston Motola-Acuna, Edgar-Andrei Vega-Ochoa SMV methodology enhancements for high speed I/O links of SoCs. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz On the use of multi-cycle tests for storage of two-cycle broadside tests. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rohit Kapur, Irith Pomeranz Innovative practices session 10C: Advances in DFT and compression. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eshan Singh Modeling location based wafer die yield variation in estimating 3D stacked IC yield from wafer to wafer stacking. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos, Stephen Sunter Efficient Monte Carlo-based analog parametric fault modelling. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sachhidh Kannan, Naghmeh Karimi, Ramesh Karri, Ozgur Sinanoglu Detection, diagnosis, and repair of faults in memristor-based memories. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jacob A. Abraham, Xinli Gu, Teresa MacLaurin, Janusz Rajski, Paul G. Ryan, Dimitris Gizopoulos, Matteo Sonza Reorda Special session 8B - Panel: In-field testing of SoC devices: Which solutions by which players? Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Li Xu, Degang Chen 0001 Accurate and efficient method of jitter and noise separation and its application to ADC testing. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Atefe Dalirsani, Michael E. Imhof, Hans-Joachim Wunderlich Structural Software-Based Self-Test of Network-on-Chip. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Doohwang Chang, Sule Ozev, Bertan Bakkaloglu, Sayfe Kiaei, Engin Afacan, Günhan Dündar Reliability enhancement using in-field monitoring and recovery for RF circuits. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Soonyoung Cha, Chang-Chih Chen, Taizhi Liu, Linda S. Milor Extraction of threshold voltage degradation modeling due to Negative Bias Temperature Instability in circuits with I/O measurements. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaolei Cai, Emil Gizdarski, Dan Landau A shared memory based parallel diagnosis system. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shahrzad Mirkhani, Jacob A. Abraham Fast evaluation of test vector sets using a simulation-based statistical metric. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bozena Kaminska, Bernard Courtois, Chris Bailey 0001 New topic session 2B: Co-design and reliability of power electronic modules - Current status and future challenges. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alodeep Sanyal, Yanjing Li Special session 11C: Young professionals in test - Elevator talks. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amitava Majumdar 0002, Suriya Natarajan, Stephen K. Sunter, Prashant Goteti, Ke Huang 0001 Innovative practices session 4C: Disruptive solutions in the non-digital world. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chen-Wei Lin, Chin-Yuan Huang, Mango Chia-Tso Chao Testing of a low-VMIN data-aware dynamic-supply 8T SRAM. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Thomas Moon, Hyun Woo Choi, Abhijit Chatterjee Low-cost multi-channel testing of periodic signals using monobit receivers and incoherent subsampling. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Takahiro J. Yamaguchi, Jacob A. Abraham, Gordon W. Roberts, Suriyaprakash Natarajan, Dennis J. Ciplickas Special session 12B: Panel post-silicon validation & test in huge variance era. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xuehui Zhang, Kan Xiao, Mohammad Tehranipoor, Jeyavijayan Rajendran, Ramesh Karri A study on the effectiveness of Trojan detection techniques using a red team blue team approach. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1David Hély, Julien Martin, Gerson Dario Piraquive Triana, Simon Piroux Mounier, Elie Riviere, Thibault Sahuc, Jeremy Savonet, Laura Soundararadjou Experiences in side channel and testing based Hardware Trojan detection. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hao-Wen Hsu, Shih-Hua Kuo, Wen-Hsiang Chang, Shi-Hao Chen, Ming-Tung Chang, Mango Chia-Tso Chao Testing retention flip-flops in power-gated designs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kai Hu 0003, Tsung-Yi Ho, Krishnendu Chakrabarty Testing of flow-based microfluidic biochips. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jae Woong Jeong, Sule Ozev, Shreyas Sen, T. M. Mak Measurement of envelope/phase path delay skew and envelope path bandwidth in polar transmitters. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Erik Larsson Special session 9B: Embedded tutorial embedded DfT instrumentation: Design, access, retargeting and case studies. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sachin Dileep Dasnurkar, Animesh Datta, Mohamed H. Abu-Rahma, Hieu Nguyen, Martin Villafana, Hadi Rasouli, Sean Tamjidi, Ming Cai, Samit Sengupta, P. R. Chidambaram, Raghavan Thirumala, Nikhil Kulkarni, Prasanna Seeram, Prasad Bhadri, Prayag Patel, Sei Seung Yoon, Esin Terzioglu Experiments and analysis to characterize logic state retention limitations in 28nm process node. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Peter Wohl, John A. Waicukauski Improving test generation by use of majority gates. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alodeep Sanyal, Yervant Zorian Special session 12C: Town-hall meeting "young professionals in test". Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aitzan Sari, Mihalis Psarakis, Dimitris Gizopoulos Combining checkpointing and scrubbing in FPGA-based real-time systems. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Adrian Evans, Michael Nicolaidis, Rob Aitken, Burcin Aktan, Olivier Lauzeral Hot topic session 4A: Reliability analysis of complex digital systems. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Harm C. M. Bossers, Johann L. Hurink, Gerard J. M. Smit Selection of tests for outlier detection. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Panagiota Papavramidou, Michael Nicolaidis An iterative diagnosis approach for ECC-based memory repair. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Samed Maltabas, Osman Kubilay Ekekon, Kemal Kulovic, Anne Meixner, Martin Margala An IDDQ BIST approach to characterize phase-locked loop parameters. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Xiaoxin Fan, Huaxing Tang, Manish Sharma, Wu-Tung Cheng, Brady Benware, Sudhakar M. Reddy Distributed dynamic partitioning based diagnosis of scan chain. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saghir A. Shaikh Innovative practices session 3C: Harnessing the challenges of testability and debug of high speed I/Os. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Baris Arslan, Alex Orailoglu Tracing the best test mix through multi-variate quality tracking. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chih-Sheng Hou, Jin-Fu Li 0001 Allocation of RAM built-in self-repair circuits for SOC dies of 3D ICs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Barry John Muldrey, Sabyasachi Deyati, Michael Giardino, Abhijit Chatterjee RAVAGE: Post-silicon validation of mixed signal systems using genetic stimulus evolution and model tuning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Louay Abdallah, Haralampos-G. D. Stratigopoulos, Salvador Mir, Josep Altet Defect-oriented non-intrusive RF test using on-chip temperature sensors. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1B. Seshadri, Bruce Cory, S. Mitra Innovative practices session 9C: Yield improvement: Challenges and directions. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sushmita Kadiyala Rao, Ryan W. Robucci, Chintan Patel Scalable dynamic technique for accurately predicting power-supply noise and path delay. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Asma Laraba, Haralampos-G. D. Stratigopoulos, Salvador Mir, Hervé Naudet, Gerard Bret Reduced code linearity testing of pipeline adcs in the presence of noise. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andreas Riefert, Jörg Müller 0004, Matthias Sauer 0002, Wolfram Burgard, Bernd Becker 0001 Identification of critical variables using an FPGA-based fault injection framework. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Helia Naeimi, Suriya Natarajan, Kushagra Vaid, Prabhakar Kudva, Mahesh Natu Innovative practices session 5C: Cloud atlas - Unreliability through massive connectivity. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Anshuman Chandra Special session 11B: Hot topic on-chip clocking - Industrial trends. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Wei Lin, Mango Chia-Tso Chao, Chih-Chieh Hsu Investigation of gate oxide short in FinFETs and the test methods for FinFET SRAMs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yun-Chao You, Chih-Sheng Hou, Li-Jung Chang, Jin-Fu Li 0001, Chih-Yen Lo, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu A hybrid ECC and redundancy technique for reducing refresh power of DRAMs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Raymond Paseman, Alex Orailoglu Towards a cost-effective hardware trojan detection methodology. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Brandon Noia, Krishnendu Chakrabarty Post-DfT-insertion retiming for delay recovery on inter-die paths in 3D ICs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sreenivaas S. Muthyala, Nur A. Touba SOC test compression scheme using sequential linear decompressors with retained free variables. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Prasanjeet Das, Sandeep K. Gupta 0001 Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tengteng Zhang, Duncan M. Hank Walker Power supply noise control in pseudo functional test. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Yong Cher, Yiorgos Makris, C. Thibeault, Alan J. Drake Innovative practices session 7C: Self-calibration & trimming. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 31st IEEE VLSI Test Symposium, VTS 2013, Berkeley, CA, USA, April 29 - May 2, 2013 Search on Bibsonomy VTS The full citation details ... 2013 DBLP  BibTeX  RDF
1Keith A. Jenkins, Phillip J. Restle, P. Z. Wang, D. Hogenmiller, David W. Boerstler, Thomas J. Bucelot On-chip circuit for measuring multi-GHz clock signal waveforms. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bozena Kaminska, Bernard Courtois, Soha Hassoun New topic session 2B: Why (Re-)Designing Biology is ∗Slightly∗ more challenging than designing electronics. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael Patterson, Aaron Mills, Ryan A. Scheel, Julie Tillman, Evan Dye, Joseph Zambreno A multi-faceted approach to FPGA-based Trojan circuit detection. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christopher Bell, Matthew Lewandowski, Srinivas Katkoori A multi-parameter functional side-channel analysis method for hardware trust verification. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Michail Maniatakos Special session 3B: E.J. McCluskey Doctoral Thesis Award semi-final - Posters. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuntan Fang, Huawei Li 0001, Xiaowei Li 0001 RSAK: Random stream attack for phase change memory in video applications. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mukesh Agrawal 0001, Krishnendu Chakrabarty Test-cost optimization and test-flow selection for 3D-stacked ICs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bo Yao, Arani Sinha, Irith Pomeranz Path selection based on static timing analysis considering input necessary assignments. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bozena Kaminska, Bernard Courtois, Massimo Alioto New topic session 7B: Challenges and directions for ultra-low voltage VLSI circuits and systems: CMOS and beyond. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jonathon E. Colburn, K.-Y. Chung, Haluk Konuk, Y. Dong Innovative practices session 6C: Latest practices in test compression. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ameya Chaudhari, Junyoung Park, Jacob A. Abraham A framework for low overhead hardware based runtime control flow error detection and recovery. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kihyuk Han, Joon-Sung Yang, Jacob A. Abraham Enhanced algorithm of combining trace and scan signals in post-silicon validation. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Mohammad Tehranipoor Special session 12A: Hot topic counterfeit IC identification: How can test help? Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chun-Chuan Chi, Cheng-Wen Wu, Min-Jer Wang, Hung-Chih Lin 3D-IC interconnect test, diagnosis, and repair. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Praveen Venkataramani, Suraj Sindia, Vishwani D. Agrawal Finding best voltage and frequency to shorten power-constrained test time. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Charutosh Dixit, Ramesh C. Tekumalla, Sreejit Chakravarty, Manuel d'Abreu, Zhuoyu Bao, Concetta Riccobene Innovative practices session 2C: Memory test. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yue Gao, Yang Zhang 0014, Da Cheng, Melvin A. Breuer Trading off area, yield and performance via hybrid redundancy in multi-core architectures. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gurgen Harutyunyan, Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian An effective solution for building memory BIST infrastructure based on fault periodicity. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Yong Cher, Mohan J. Kumar Innovative practices session 11C: Resilience. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fayrouz Haddad, Wenceslas Rahajandraibe, Hassen Aziza, Karine Castellani-Coulié, Jean-Michel Portal On the investigation of built-in tuning of RF receivers using on-chip polyphase filters. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Manuel d'Abreu, Amitava Mazumdar Special session 8B: Embedded tutorial challenges in SSD. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Koji Asami, Takashi Shimura, Toshiaki Kurihara Novel estimation method of EVM with channel correction for linear impairments in multi-standard RF transceivers. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jennifer Dworak, Ronald Shawn Blanton, Masahiro Fujita, Kazumi Hatayama, Naghmeh Karimi, Michail Maniatakos, Antonis M. Paschalis, Adit D. Singh, Tian Xia Special session 4B: Elevator talks. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nagib Hakim, Charles Meissner Innovative practices session 1C: Post-silicon validation. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sen-Wen Hsiao, Nicholas Tzou, Abhijit Chatterjee A programmable BIST design for PLL static phase offset estimation and clock duty cycle detection. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1P. Pant, M. Amodeo, Sujal Vora, Jonathon E. Colburn Innovative practices session 10C: Delay test. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jin-Fu Li 0001, Cheng-Wen Wu, Masahiro Aoyagi, Meng-Fan Marvin Chang, Ding-Ming Kwai Special session 4C: Hot topic 3D-IC design and test. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Leonardo Bonet Zordan, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Arnaud Virazel, Nabil Badereddine A built-in scheme for testing and repairing voltage regulators of low-power srams. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Michail Maniatakos Special session 8A: E.J. McCluskey doctoral thesis award semi-final - presentations. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rashid Rashidzadeh Contactless test access mechanism for TSV based 3D ICs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saman Kiamehr, Mojtaba Ebrahimi, Farshad Firouzi, Mehdi Baradaran Tahoori Chip-level modeling and analysis of electrical masking of soft errors. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yier Jin, Yiorgos Makris Proof carrying-based information flow tracking for data secrecy protection and hardware trust. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Syed Askari, Mehrdad Nourani, Mini Rawat An on-chip NBTI monitor for estimating analog circuit degradation. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kohei Miyase, Masao Aso, Ryou Ootsuka, Xiaoqing Wen, Hiroshi Furukawa, Yuta Yamato, Kazunari Enokimoto, Seiji Kajihara A novel capture-safety checking method for multi-clock designs and accuracy evaluation with delay capture circuits. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lixing Zhao, Vishwani D. Agrawal Net diagnosis using stuck-at and transition fault models. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Junyoung Park, Jacob A. Abraham An aging-aware flip-flop design based on accurate, run-time failure prediction. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Geewhun Seok, Hong Kim, Baker Mohammad Write-through method for embedded memory with compression Scan-based testing. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alexander Czutro, Matthias Sauer 0002, Tobias Schubert 0001, Ilia Polian, Bernd Becker 0001 SAT-ATPG using preferences for improved detection of complex defect mechanisms. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hyunjin Kim, Jacob A. Abraham A Built-In Self-Test scheme for DDR memory output timing test and measurement. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peilin Song, Franco Stellari Tester-based optical and electrical diagnostic system and techniques. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jinsuk Chung, Nur A. Touba Exploiting X-correlation in output compression via superset X-canceling. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Masoud Zamani, Mehdi Baradaran Tahoori, Krishnendu Chakrabarty Ping-pong test: Compact test vector generation for reversible circuits. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eun Jung Jang, Anne Gattiker, Sani R. Nassif, Jacob A. Abraham An oscillation-based test structure for timing information extraction. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license