The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Samuel Presa Toledo, Alexandra L. Zimpeck, Ricardo Reis 0001, Cristina Meinhardt Impact of schmitt trigger inverters on process variability robustness of 1-Bit full adders. Search on Bibsonomy ICECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mario Osta, Ali Ibrahim, Hussein Chible, Maurizio Valle Approximate Multipliers Based on Inexact Adders for Energy Efficient Data Processing. Search on Bibsonomy NGCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Marta Franceschi, Vincent Camus, Ali Ibrahim, Christian C. Enz, Maurizio Valle Approximate FPGA Implementation of CORDIC for Tactile Data Processing Using Speculative Adders. Search on Bibsonomy NGCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Subhendu Roy, Yuzhe Ma, Jin Miao, Bei Yu 0001 A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shahrzad Keshavarz, Daniel E. Holcomb Privacy leakages in approximate adders. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Darjn Esposito, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo On the use of approximate adders in carry-save multiplier-accumulators. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mengting Li, Wenhao Sun, Zhimin Lu, Song Chen 0001, Feng Wu Memristor-based material implication logic design for full adders. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16H. Junqi, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi Simulation-based evaluation of frequency upscaled operation of exact/approximate ripple carry adders. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Muhammad Kamran Ayub, Osman Hasan, Muhammad Shafique 0001 Statistical Error Analysis for Low Power Approximate Adders. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Muhammad Abdullah Hanif, Rehan Hafiz, Osman Hasan, Muhammad Shafique 0001 QuAd: Design and Analysis of Quality-Area Optimal Low-Latency Approximate Adders. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mohammad Hossein Moaiyeri, Shima Sedighiani, Fazel Sharifi, Keivan Navi Design and analysis of carbon nanotube FET based quaternary full adders. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Andrew Adamatzky On Half-Adders Based on Fusion of Signal Carriers: Excitation, Fluidics, and Electricity. Search on Bibsonomy Complex Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram A comparative study on performance and reliability of 32-bit binary adders. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yavar Safaei Mehrabani, Mohammad Eshghi Noise and Process Variation Tolerant, Low-Power, High-Speed, and Low-Energy Full Adders in CNFET Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jisha M. Nair, C. Pradeep Intelligent selective modular redundancy for online fault detection of adders in FPGA. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Weiqiang Liu 0001, Linbin Chen, Chenghua Wang, Máire O'Neill, Fabrizio Lombardi Design and Analysis of Inexact Floating-Point Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yogita Bansal, Charu Madhu A novel high-speed approach for 16 × 16 Vedic multiplication with compressor adders. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Morteza Dorrigiv, Ghassem Jaberipur Conditional speculative mixed decimal/binary adders via binary-coded-chiliad encoding. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mojtaba Valinataj, Mahboobeh Mirshekar, Hamid Jazayeri Novel low-cost and fault-tolerant reversible logic adders. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Atiyeh Panahi, Fazel Sharifi, Mohammad Hossein Moaiyeri, Keivan Navi CNFET-based approximate ternary adders for energy-efficient image processing applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yongqiang Zhang 0006, Hongjun Lv, Huakun Du, Cheng Huang, Shuai Liu, Guangjun Xie Modular design of QCA carry flow adders and multiplier with reduced wire crossing and number of logic gates. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Zvi M. Kedem, Kirthi Krishna Muntimadugu Mathematical Modeling of General Inaccurate Adders. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16P. Balasubramanian 0001, Nikos E. Mastorakis ASIC-based Implementation of Synchronous Section-Carry Based Carry Lookahead Adders. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16P. Balasubramanian 0001, Nikos E. Mastorakis Design of Synchronous Section-Carry Based Carry Lookahead Adders with Improved Figure of Merit. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16Firdous Ahmad, Ghulam Mohiuddin Bhat, Hossein Khademolhosseini, Saeid Azimi, Shaahin Angizi, Keivan Navi Towards single layer quantum-dot cellular automata adders based on explicit interaction of cells. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Darjn Esposito, Davide De Caro, Antonio Giuseppe Maria Strollo Variable Latency Speculative Parallel Prefix Adders for Unsigned and Signed Operands. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Zhixi Yang, Jun Yang, Kefei Xing, Guang Yang An analytical framework for error modeling of approximate adders. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Stephanie O. Ames, Vinicius Zanandrea, Ingrid F. V. Oliveira, Samuel P. Toledo, Cristina Meinhardt Investigating PVT variability effects on full adders. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Cunxi Yu, Maciej J. Ciesielski Analyzing Imprecise Adders Using BDDs - A Case Study. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ali Asghar Vatanjou, Even Låte, Trond Ytterdal, Snorre Aunet Ultra-low voltage adders in 28 nm FDSOI exploring poly-biasing for device sizing. Search on Bibsonomy NORCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Lauren Guckert, Earl E. Swartzlander Jr. Optimized memristor-based ripple carry adders. Search on Bibsonomy ACSSC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Zahiruddin Alamgir, Karsten Beckmann, Nathaniel C. Cady, Alvaro Velasquez, Sumit Kumar Jha 0001 Flow-based computing on nanoscale crossbars: Design and implementation of full adders. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Rui Zhou, Weikang Qian A General Sign Bit Error Correction Scheme for Approximate Adders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Sunil Dutt, Harsh Patel, Sukumar Nandi, Gaurav Trivedi Exploring Approximate Computing for Yield Improvement via Re-design of Adders for Error-Resilient Applications. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ugur Cini, Olcay Kurt MAC unit for reconfigurable systems using multi-operand adders with double carry-save encoding. Search on Bibsonomy DTIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Azadeh Alsadat Emrani Zarandi, Amir Sabbagh Molahosseini, Mehdi Hosseinzadeh 0001, Saeid Sorouri, Samuel Antão, Leonel Sousa Reverse Converter Design via Parallel-Prefix Adders: Novel Components, Methodology, and Implementations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yinan Sun, Yongpan Liu, Zhibo Wang 0004, Huazhong Yang Multistage Function Speculation Adders. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Cong Liu 0015, Jie Han 0001, Fabrizio Lombardi An Analytical Framework for Evaluating the Error Characteristics of Approximate Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Peter Kornerup Reviewing High-Radix Signed-Digit Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Roberto Sierra, Carlos Carreras, Gabriel Caffarena, Carlos A. López Bario A Formal Method for Optimal High-Level Casting of Heterogeneous Fixed-Point Adders and Subtractors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Atef Ibrahim, Fayez Gebali Optimized structures of hybrid ripple carry and hierarchical carry lookahead adders. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Kamalika Datta, Tanay Chattopadhyay, Indranil Sengupta 0001 All optical design of binary adders using semiconductor optical amplifier assisted Mach-Zehnder interferometer. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Manish Kumar Jaiswal, B. Sharat Chandra Varma 0001, Hayden Kwok-Hay So, M. Balakrishnan, Kolin Paul, Ray C. C. Cheung Configurable Architectures for Multi-Mode Floating Point Adders. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Weiqiang Liu 0001, Earl E. Swartzlander Jr. Design of 3-D quantum-dot cellular automata adders. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Abdulmajeed Alghamdi, Fayez Gebali Performance analysis of 64-bit Carry Lookahead Adders using conventional and hierarchical structure styles. Search on Bibsonomy PACRIM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ioannis Voyiatzis Symmetric transparent on-line BIST of word-organized memories with binary adders. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Mustafa Aktan, Dursun Baran, Vojin G. Oklobdzija Minimizing Energy by Achieving Optimal Sparseness in Parallel Adders. Search on Bibsonomy ARITH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Leonardo Bandeira Soares, Sergio Bampi, Andre Luis Rodeghiero Rosa, Eduardo A. C. da Costa Near-threshold computing for very wide frequency scaling: Approximate adders to rescue performance. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zhixi Yang, Jie Han 0001, Fabrizio Lombardi Transmission gate-based approximate adders for inexact computing. Search on Bibsonomy NANOARCH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Honglan Jiang, Jie Han 0001, Fabrizio Lombardi A Comparative Review and Evaluation of Approximate Adders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Babu M. Pranay, Srivatsava Jandhyala Accuracy Configurable Modified Booth Multiplier Using Approximate Adders. Search on Bibsonomy iNIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Noureddine Chabini, Said Belkouch Area and delay aware approaches for realizing multi-operand addition on FPGAs using two-operand adders. Search on Bibsonomy AICCSA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Karri Manikantta Reddy, Kumar Y. B. Nithin, Dheeraj Sharma, M. H. Vasantha Low power, high speed error tolerant multiplier using approximate adders. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo Area-Delay Efficient Binary Adders in QCA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Raffaele De Rose, Marco Lanuzza, Fabio Frustaci, Sohan Purohit Designing Dynamic Carry Skip Adders: Analysis and Comparison. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Qian Wang, Xiaoyu Song, Ming Gu 0001, Jia-Guang Sun 0001 Functional Verification of High Performance Adders in COQ. Search on Bibsonomy J. Appl. Math. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Subhendu Roy, Mihir R. Choudhury, Ruchir Puri, David Z. Pan Towards Optimal Performance-Area Trade-Off in Adders by Synthesis of Parallel Prefix Structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ireneusz Brzozowski, Andrzej Kos Designing of low-power data oriented adders. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Stephan Held, Sophie Theresa Spirkl Fast Prefix Adders for Non-Uniform Input Arrival Times. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Cecilia García Martin, Erdal Oruklu Performance evaluation of FinFET pass-transistor full adders with BSIM-CMG model. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero Physical vs. Physically-Aware Estimation Flow: Case Study of Design Space Exploration of Adders. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Halil Kukner, Pieter Weckx, Sebastien Morrison, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken NBTI Aging on 32-Bit Adders in the Downscaling Planar FET Technology Nodes. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Divya Mahajan 0001, Matheen Musaddiq, Earl E. Swartzlander Jr. Memristor based adders. Search on Bibsonomy ACSSC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Seyed Hamed Fatemi Langroudi, Ghassem Jaberipur Double {0, 1, 2} representation modulo-(2n - 3) adders. Search on Bibsonomy IWSSIP The full citation details ... 2014 DBLP  BibTeX  RDF
16Ting An, Hao Cai, Lirida Alves de Barros Naviner Simulation study of aging in CMOS binary adders. Search on Bibsonomy MIPRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jason Luu, Conor McCullough, Sen Wang, Safeen Huda, Bo Yan, Charles Chiasson, Kenneth B. Kent, Jason Helge Anderson, Jonathan Rose, Vaughn Betz On Hard Adders and Carry Chains in FPGAs. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Li Li 0021, Hai Zhou 0001 On error modeling and analysis of approximate adders. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Gai Liu, Ye Tao, Mingxing Tan, Zhiru Zhang CASA: correlation-aware speculative adders. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xinghua Yang, Fei Qiao, Chang Liu, Qi Wei 0001, Huazhong Yang Design of multi-stage latency adders using detection and sequence-dependence between successive calculations. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Minas Dasygenis Generation and validation of multioperand carry save adders from the web. Search on Bibsonomy DTIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mahmoud Alshewimy Yüksek performanslı karma toplayıcıların tasarımı (High performance hybrid adders design) Search on Bibsonomy 2014   RDF
16Mauro Olivieri, Antonio Mastrandrea A General Design Methodology for Synchronous Early-Completion-Prediction Adders in Nano-CMOS DSP Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16David H. K. Hoe, L. P. Deepthi Bollepalli, Chris D. Martinez FPGA Fault Tolerant Arithmetic Logic: A Case Study Using Parallel-Prefix Adders. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Keshab K. Parhi Comments on "Low-energy CSMT carry generators and binary adders". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jinghang Liang, Jie Han 0001, Fabrizio Lombardi New Metrics for the Reliability of Approximate and Probabilistic Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Javier Hormigo, Julio Villalba, Emilio L. Zapata Multioperand Redundant Adders on FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16P. Balasubramanian 0001, David A. Edwards, William B. Toms Self-Timed Section-Carry Based Carry Lookahead Adders and the Concept of Alias Logic. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Vaibhav Gupta, Debabrata Mohapatra, Anand Raghunathan, Kaushik Roy 0001 Low-Power Digital Signal Processing Using Approximate Adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mehdi Saeedi, Alireza Shafaei, Massoud Pedram Constant-Factor Optimization of Quantum Adders on 2D Quantum Architectures Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
16Costas Efstathiou, Zaher Owda, Yiorgos Tsiatouhas New High-Speed Multioutput Carry Look-Ahead Adders. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Mehdi Saeedi, Alireza Shafaei, Massoud Pedram Constant-Factor Optimization of Quantum Adders on 2D Quantum Architectures. Search on Bibsonomy RC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16P. Balasubramanian, D. Dhivyaa, J. P. Jayakirthika, P. Kaviyarasi, K. Prasad Low power self-timed carry lookahead adders. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ismo Hänninen, Craig S. Lent, Gregory L. Snider Models of irreversibility for binary adders. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Rakshith Saligram Design of Low Logical Cost Conservative Reversible Adders Using Novel PCTG. Search on Bibsonomy ISED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Amit Grover, Neeti Grover Comparative Analysis: Area-Efficient Carry Select Adders 180 Nm Technology. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Martin Kumm, Martin Hardieck, Jens Willkomm, Peter Zipf, Uwe Meyer-Baese Multiple constant multiplication with ternary adders. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Alexios Thanos, Haridimos T. Vergos Fast parallel-prefix Ling-carry adders in QCA nanotechnology. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Wesley Chu, Ali I. Unwala, Pohan Wu, Earl E. Swartzlander Jr. Implementation of a high speed multiplier using carry lookahead adders. Search on Bibsonomy ACSSC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Kjell O. Jeppson, Per Larsson-Edefors Exploring prefix-tree adders using excel spreadsheets Setting up an explorative learning environment. Search on Bibsonomy MSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Mojtaba Maleknejad, Keivan Navi, Omid Hashemipour Dramatically Low-Transistor-Count High-Speed Ternary Adders. Search on Bibsonomy ISMVL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Alberto A. Del Barrio, Román Hermida, Seda Ogrenci Memik Exploring the energy efficiency of Multispeculative Adders. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ali Sayyed, Luciano Lavagno, Shah Khalid, Najeeb Ur Rahman Implementation and performance analysis of variable latency adders. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16George Razvan Voicu, Mihai Lefter, Marius Enachescu, Sorin Dan Cotofana 3D stacked wide-operand adders: A case study. Search on Bibsonomy ASAP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ireneusz Brzozowski, Damian Palys, Andrzej Kos An analysis of full adder cells for low-power data oriented adders design. Search on Bibsonomy MIXDES The full citation details ... 2013 DBLP  BibTeX  RDF
16Subhendu Roy, Mihir R. Choudhury, Ruchir Puri, David Z. Pan Towards optimal performance-area trade-off in adders by synthesis of parallel prefix structures. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16P. Balasubramanian 0001, David A. Edwards, William B. Toms Redundant Logic Insertion and Latency Reduction in Self-Timed Adders. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos Area-time efficient end-around inverted carry adders. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Shahzad Asif, Mark Vesterbacka Performance analysis of radix-4 adders. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Dimitris Bakalis Area-time efficient multi-modulus adders and their applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Feng Liu 0029, QingPing Tan, Otmane Aït Mohamed Formal proof of integer adders using all-prefix-sums operation. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license