Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Vladimir Hahanov, Karyna Mostova, Oleksandr Paschenko |
Assertion based method of functional defects for diagnosing and testing multimedia devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: East-West Design & Test Symposium, EWDTS 2013, Rostov-on-Don, Russia, September 27-30, 2013, pp. 1-5, 2013, IEEE Computer Society, 978-1-4799-2095-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Bahram N. Uchevler, Kjetil Svarstad |
Synthesizable assertion checkers in high levels of abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 20th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2013, Abu Dhabi, UAE, December 8-11, 2013, pp. 859-864, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Luís Eufrasio T. Neto, Vânia Maria Ponte Vidal, Marco A. Casanova, José Maria Monteiro |
R2RML by Assertion: A Semi-automatic Tool for Generating Customised R2RML Mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESWC (Satellite Events) ![In: The Semantic Web: ESWC 2013 Satellite Events - ESWC 2013 Satellite Events, Montpellier, France, May 26-30, 2013, Revised Selected Papers, pp. 248-252, 2013, Springer, 978-3-642-41241-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Nikolai Kosmatov, Guillaume Petiot, Julien Signoles |
An Optimized Memory Monitoring for Runtime Assertion Checking of C Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RV ![In: Runtime Verification - 4th International Conference, RV 2013, Rennes, France, September 24-27, 2013. Proceedings, pp. 167-182, 2013, Springer, 978-3-642-40786-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Nikolai Kosmatov, Julien Signoles |
A Lesson on Runtime Assertion Checking with Frama-C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RV ![In: Runtime Verification - 4th International Conference, RV 2013, Rennes, France, September 24-27, 2013. Proceedings, pp. 386-399, 2013, Springer, 978-3-642-40786-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Shuvendu K. Lahiri, Kenneth L. McMillan, Rahul Sharma 0001, Chris Hawblitzel |
Differential assertion checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Joint Meeting of the European Software Engineering Conference and the ACM SIGSOFT Symposium on the Foundations of Software Engineering, ESEC/FSE'13, Saint Petersburg, Russian Federation, August 18-26, 2013, pp. 345-355, 2013, ACM, 978-1-4503-2237-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Carna Radojicic, Javier Moreno 0003, Xiao Pan, Christoph Grimm 0001 |
On more dependable assertion-based verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society, Vienna, Austria, November 10-13, 2013, pp. 7742-7747, 2013, IEEE, 978-1-4799-0224-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Laurence Pierre, Fabrice Pancher, Rodolphe Suescun, Jérôme Quévremont |
On the Effectiveness of Assertion-Based Verification in an Industrial Context. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS ![In: Formal Methods for Industrial Critical Systems - 18th International Workshop, FMICS 2013, Madrid, Spain, September 23-24, 2013. Proceedings, pp. 78-93, 2013, Springer, 978-3-642-41009-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Dogan Ulus, Alper Sen 0001, I. Faik Baskaya |
Integrating circuit analyses for assertion-based verification of programmable AMS circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceedings of the 2013 Forum on specification and Design Languages, FDL 2013, Paris, France, September 24-26, 2013, pp. 1-8, 2013, IEEE, 978-2-9530504-8-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Moustafa Kassem, Marianne Michel, Mohamed Abdelsalam, Ashraf Salem |
A novel approach for assertion based verification of DDR memory protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceedings of the 2013 Forum on specification and Design Languages, FDL 2013, Paris, France, September 24-26, 2013, pp. 1-4, 2013, IEEE, 978-2-9530504-8-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Dhanashree Kulkarni, Andrew N. Fisher, Chris J. Myers |
A new assertion property language for analog/mixed-signal circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceedings of the 2013 Forum on specification and Design Languages, FDL 2013, Paris, France, September 24-26, 2013, pp. 1-8, 2013, IEEE, 978-2-9530504-8-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Anand Yeolekar, Divyesh Unadkat |
Assertion Checking Using Dynamic Inference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haifa Verification Conference ![In: Hardware and Software: Verification and Testing - 9th International Haifa Verification Conference, HVC 2013, Haifa, Israel, November 5-7, 2013, Proceedings, pp. 199-213, 2013, Springer, 978-3-319-03076-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Sonali Dutta, Moshe Y. Vardi, Deian Tabakov |
CHIMP: A Tool for Assertion-Based Dynamic Verification of SystemC Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIFTS@FMCAD ![In: Proceedings of the Second International Workshop on Design and Implementation of Formal Tools and Systems, Portland, OR, USA, October 19, 2013., 2013, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Marcius Armada de Oliveira, Kate Revoredo, José Eduardo Ochoa Luna, Fábio Gagliardi Cozman |
Assertion Role in a Hybrid Link Prediction Approach through Probabilistic Ontology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ONTOBRAS ![In: Proceedings of the 6th Seminar on Ontology Research in Brazil, Belo Horizonte, Brazil, September 23, 2013, pp. 106-117, 2013, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
16 | Mingsong Chen, Prabhat Mishra 0001 |
Assertion-Based Functional Consistency Checking between TLM and RTL Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013, pp. 320-325, 2013, IEEE Computer Society, 978-1-4673-4639-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Stijn de Gouw, Frank S. de Boer, Wolfgang Ahrendt, Richard Bubel |
Weak Arithmetic Completeness of Object-Oriented First-Order Assertion Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFSEM ![In: SOFSEM 2013: Theory and Practice of Computer Science, 39th International Conference on Current Trends in Theory and Practice of Computer Science, Špindlerův Mlýn, Czech Republic, January 26-31, 2013. Proceedings, pp. 207-219, 2013, Springer, 978-3-642-35842-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
16 | Peter Pagin |
Assertion, inference, and consequence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Synth. ![In: Synth. 187(3), pp. 869-885, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Belal Mohammad Zaqaibeh, Firas Ali Albalas, Awajan W. A. |
Designing a New Assertion Constraints Model for Mobile Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Interact. Mob. Technol. ![In: Int. J. Interact. Mob. Technol. 6(2), pp. 39-46, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Ansuman Banerjee, Sayak Ray, Pallab Dasgupta, P. P. Chakrabarti 0001, S. Ramesh 0002, P. Vignesh V. Ganesan |
A dynamic assertion-based verification platform for validation of UML designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 37(1), pp. 1-14, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Subhankar Mukherjee 0001, Pallab Dasgupta |
Assertion Aware Sampling Refinement: A Mixed-Signal Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(11), pp. 1772-1776, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | ShengYu Shen, Ying Qin, Kefei Wang, Zhengbin Pang, Jianmin Zhang, Sikun Li |
Inferring Assertion for Complementary Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(8), pp. 1288-1292, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Klaas Wierenga, Eliot Lear, Simon Josefsson |
A Simple Authentication and Security Layer (SASL) and GSS-API Mechanism for the Security Assertion Markup Language (SAML). ![Search on Bibsonomy](Pics/bibsonomy.png) |
RFC ![In: RFC 6595, pp. 1-22, April 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Mohammad Hossein Neishaburi, Zeljko Zilic |
An infrastructure for debug using clusters of assertion-checkers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 52(11), pp. 2781-2798, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yukun Chen, Subramani Mani, Hua Xu 0001 |
Applying active learning to assertion classification of concepts in clinical text. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Biomed. Informatics ![In: J. Biomed. Informatics 45(2), pp. 265-272, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | José Bernardo Barros, Daniela Carneiro da Cruz, Pedro Rangel Henriques, Jorge Sousa Pinto |
Assertion-based slicing and slice graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 24(2), pp. 217-248, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Giuseppe Di Guglielmo, Luigi Di Guglielmo, Franco Fummi, Graziano Pravadelli |
Enabling dynamic assertion-based verification of embedded software through model-driven design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 212-217, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Dogan Ulus, Alper Sen 0001 |
Using haloes in mixed-signal assertion based verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2012 IEEE International High Level Design Validation and Test Workshop, HLDVT 2012, Huntington Beach, CA, USA, November 9-10, 2012, pp. 49-55, 2012, IEEE Computer Society, 978-1-4673-2897-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Sourasis Das, Ansuman Banerjee, Pallab Dasgupta |
A Generalized Theory for Formal Assertion Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 137-142, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Laurence Pierre |
A formal framework for testing with assertion checkers in mixed-signal simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 19th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2012, Seville, Spain, December 9-12, 2012, pp. 284-287, 2012, IEEE, 978-1-4673-1261-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Danielle L. Mowery, Pamela W. Jordan, Janyce Wiebe, Wendy Webber Chapman, Lin Liu |
Does Domain Knowledge Matter for Assertion Annotation in Clinical Texts? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HISB ![In: 2012 IEEE Second International Conference on Healthcare Informatics, Imaging and Systems Biology, HISB 2012, La Jolla, CA, USA, September 27-28, 2012, pp. 136, 2012, IEEE Computer Society, 978-1-4673-4803-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Lingyi Liu, Chen-Hsuan Lin, Shobha Vasudevan |
Word level feature discovery to enhance quality of assertion mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012, pp. 210-217, 2012, ACM, 978-1-4577-1398-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Carna Radojicic, Florian Schupfer, Michael Rathmair, Christoph Grimm 0001 |
Assertion-based verification of signal processing systems with affine arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceeding of the 2012 Forum on Specification and Design Languages, Vienna, Austria, September 18-20, 2012, pp. 20-26, 2012, IEEE, 978-1-4673-1240-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
16 | Sebastian Steinhorst, Lars Hedrich |
Analog assertion-based verification on partial state space representations using ASL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceeding of the 2012 Forum on Specification and Design Languages, Vienna, Austria, September 18-20, 2012, pp. 98-104, 2012, IEEE, 978-1-4673-1240-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
16 | Shabnam Mirshokraie, Ali Mesbah 0001 |
JSART: JavaScript Assertion-Based Regression Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWE ![In: Web Engineering - 12th International Conference, ICWE 2012, Berlin, Germany, July 23-27, 2012. Proceedings, pp. 238-252, 2012, Springer, 978-3-642-31752-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Shohei Ono, Takeshi Matsumoto, Masahiro Fujita |
Automatic assertion extraction in gate-level simulation using GPGPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012, pp. 522-523, 2012, IEEE Computer Society, 978-1-4673-3051-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Edmund Soon Lee Lam, Iliano Cervesato |
Modeling datalog fact assertion and retraction in linear logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPDP ![In: Principles and Practice of Declarative Programming, PPDP'12, Leuven, Belgium - September 19 - 21, 2012, pp. 67-78, 2012, ACM, 978-1-4503-1522-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Maksim Jenihhin, Samary Baranov, Jaan Raik, Valentin Tihhomirov |
PSL assertion checkers synthesis with ASM based HLS tool ABELITE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATW ![In: 13th Latin American Test Workshop, LATW 2012, Quito, Ecuador, April 10-13, 2012, pp. 1-6, 2012, IEEE Computer Society, 978-1-4673-2355-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Michael Bilzor, Ted Huffmire, Cynthia E. Irvine, Timothy E. Levin |
Evaluating security requirements in a general-purpose processor by combining assertion checkers with code coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HOST ![In: 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, HOST 2012, San Francisco, CA, USA, June 3-4, 2012, pp. 49-54, 2012, IEEE Computer Society, 978-1-4673-2341-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Jason G. Tong, Marc Bottle, Zeljko Zilic |
Assertion clustering for compacted test sequence generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Thirteenth International Symposium on Quality Electronic Design, ISQED 2012, Santa Clara, CA, USA, March 19-21, 2012, pp. 694-701, 2012, IEEE, 978-1-4673-1034-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Jiewen Wu, Alexander K. Hudek, David Toman 0001, Grant E. Weddell |
Assertion Absorption in Object Queries over Knowledge Bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KR ![In: Principles of Knowledge Representation and Reasoning: Proceedings of the Thirteenth International Conference, KR 2012, Rome, Italy, June 10-14, 2012, 2012, AAAI Press, 978-1-57735-560-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
16 | Kevin Boos, Chien-Liang Fok, Christine Julien 0001, Miryung Kim |
BRACE: An assertion framework for debugging cyber-physical systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 34th International Conference on Software Engineering, ICSE 2012, June 2-9, 2012, Zurich, Switzerland, pp. 1341-1344, 2012, IEEE Computer Society, 978-1-4673-1067-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Arvind Jain, Maheedhar Jalasutram, Srinivas Vooka, Prasun Nair, Neeraj Pradhan |
At-speed Testing of Asynchronous Reset De-assertion Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012, pp. 358-363, 2012, IEEE Computer Society, 978-1-4673-0438-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Dominik Dietrich |
Assertion level proof planning with compiled strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2012 |
RDF |
|
16 | |
Web SSO and Security Assertion Markup Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Cryptography and Security (2nd Ed.) ![In: Encyclopedia of Cryptography and Security, 2nd Ed., pp. 1382, 2011, Springer, 978-1-4419-5905-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Rodion Moiseev, Shinpei Hayashi, Motoshi Saeki |
Using Hierarchical Transformation to Generate Assertion Code from OCL Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 94-D(3), pp. 612-621, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Anne-Lyse Minard, Anne-Laure Ligozat, Asma Ben Abacha, Delphine Bernhard, Bruno Cartoni, Louise Deléger, Brigitte Grau, Sophie Rosset, Pierre Zweigenbaum, Cyril Grouin |
Hybrid methods for improving information access in clinical documents: concept, assertion, and relation identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Am. Medical Informatics Assoc. ![In: J. Am. Medical Informatics Assoc. 18(5), pp. 588-593, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Cheryl Clark, John S. Aberdeen, Matthew Coarr, David Tresner-Kirsch, Ben Wellner, Alexander S. Yeh, Lynette Hirschman |
MITRE system for clinical assertion status classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Am. Medical Informatics Assoc. ![In: J. Am. Medical Informatics Assoc. 18(5), pp. 563-567, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Thomas W. Lynch |
More Jabber about the Collatz Conjecture and a Closed Form for Detecting Cycles on Special Subsequences [Assertion: Collatz cycles]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1108.4056, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
16 | Alexander M. Hoole, Issa Traoré, Isabelle Simplot-Ryl |
Application of contract-based security assertion monitoring framework for telecommunications software engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Comput. Model. ![In: Math. Comput. Model. 53(3-4), pp. 522-537, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Viacheslav Izosimov, Michele Lora, Graziano Pravadelli, Franco Fummi, Zebo Peng, Giuseppe Di Guglielmo, Masahiro Fujita |
Optimization of Assertion Placement in Time-Constrained Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 16th European Test Symposium, ETS 2011, Trondheim, Norway, May 23-27, 2011, pp. 171-176, 2011, IEEE Computer Society, 978-0-7695-4433-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
time-constrained embedded systems, soft errors, executable assertions |
16 | Tristan Lavarack, Marijke Coetzee |
Web Services Security Policy Assertion Trade-offs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: Sixth International Conference on Availability, Reliability and Security, ARES 2011, Vienna, Austria, August 22-26, 2011, pp. 535-540, 2011, IEEE Computer Society, 978-1-4577-0979-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Giuseppe Di Guglielmo, Luigi Di Guglielmo, Franco Fummi, Graziano Pravadelli |
Interactive presentation abstract: Assertion-based verification in embedded-software design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: 2011 IEEE International High Level Design Validation and Test Workshop, HLDVT 2011, Napa Valley, CA, USA, November 9-11, 2011, pp. 80, 2011, IEEE Computer Society, 978-1-4577-1744-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Ryuichi Takahashi, Yoshiyasu Takefuji |
SystemVerilog assertion for microarchitecture education considering situated nature of learning: A senior project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSE ![In: 2011 IEEE International Conference on Microelectronic Systems Education, MSE 2011, San Diego, CA, USA, June 5-6, 2011, pp. 112-113, 2011, IEEE Computer Society, 978-1-4577-0548-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | ShengYu Shen, Ying Qin, Jianmin Zhang |
Inferring assertion for complementary synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011, San Jose, California, USA, November 7-10, 2011, pp. 404-411, 2011, IEEE Computer Society, 978-1-4577-1399-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Aurélien Ribon, Bertrand Le Gal, Christophe Jégo, Dominique Dallet |
Assertion support in high-level synthesis design flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: 2011 Forum on Specification & Design Languages, FDL 2011, Oldenburg, Germany, September 13-15, 2011, pp. 1-8, 2011, IEEE, 978-1-4577-0763-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
16 | Laurence Pierre, Laila Damri |
Improvement of Assertion-Based Verification through the generation of proper test sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: 2011 Forum on Specification & Design Languages, FDL 2011, Oldenburg, Germany, September 13-15, 2011, pp. 1-8, 2011, IEEE, 978-1-4577-0763-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
16 | Minh Ngoc Dinh, David Abramson 0001, Donny Kurniawan, Chao Jin, Bob Moench, Luiz De Rose |
Assertion Based Parallel Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2011, Newport Beach, CA, USA, May 23-26, 2011, pp. 63-72, 2011, IEEE Computer Society, 978-0-7695-4395-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | David Abramson 0001 |
Keynote: Assertion Based Parallel Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP (1) ![In: Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part I, pp. 1, 2011, Springer, 978-3-642-24649-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Youngkon Lee |
Prescription-Level Based Test Assertion Model for SOA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FGIT-FGCN (1) ![In: Communication and Networking - International Conference, FGCN 2011, Held as Part of the Future Generation Information Technology Conference, FGIT 2011, in Conjunction with GDC 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part I, pp. 39-46, 2011, Springer, 978-3-642-27191-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Youngkon Lee |
Business-Centric Test Assertion Model for SOA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FGIT-ASEA/DRBC/EL ![In: Software Engineering, Business Continuity, and Education - International Conferences ASEA, DRBC and EL 2011, Held as Part of the Future Generation Information Technology Conference, FGIT 2011, in Conjunction with GDC 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, pp. 505-513, 2011, Springer, 978-3-642-27206-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Youngkon Lee |
Semi-automatic Test Assertion Transformation Scheme for SOA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FGIT-UNESST ![In: U- and E-Service, Science and Technology - International Conference, UNESST 2011, Held as Part of the Future Generation Information Technology Conference, FGIT 2011, in Conjunction with GDC 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, pp. 58-65, 2011, Springer, 978-3-642-27209-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Wladimir Araujo, Lionel C. Briand, Yvan Labiche |
Enabling the runtime assertion checking of concurrent contracts for the Java modeling language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: Proceedings of the 33rd International Conference on Software Engineering, ICSE 2011, Waikiki, Honolulu , HI, USA, May 21-28, 2011, pp. 786-795, 2011, ACM, 978-1-4503-0445-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Jeffrey Pound, David Toman 0001, Grant E. Weddell, Jiewen Wu |
An Assertion Retrieval Algebra for Object Queries over Knowledge Bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCAI ![In: IJCAI 2011, Proceedings of the 22nd International Joint Conference on Artificial Intelligence, Barcelona, Catalonia, Spain, July 16-22, 2011, pp. 1051-1056, 2011, IJCAI/AAAI, 978-1-57735-516-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Hermann Lehner |
A formal definition of JML in Coq and its application to runtime assertion checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2011 |
RDF |
|
16 | |
Assertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Machine Learning ![In: Encyclopedia of Machine Learning, pp. 48, 2010, Springer, 978-0-387-30768-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Ahmed Sobeih, Marcelo d'Amorim, Mahesh Viswanathan 0001, Darko Marinov, Jennifer C. Hou |
Assertion Checking in J-Sim Simulation Models of Network Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Simul. ![In: Simul. 86(11), pp. 651-673, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Jeremy D. Selengut, Douglas B. Rusch, Daniel H. Haft |
Sites Inferred by Metabolic Background Assertion Labeling (SIMBAL): adapting the Partial Phylogenetic Profiling algorithm to scan sequences for signatures that predict protein function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Bioinform. ![In: BMC Bioinform. 11, pp. 52, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Katell Morin-Allory, Marc Boule, Dominique Borrione, Zeljko Zilic |
Validating Assertion Language Rewrite Rules and Semantics With Automated Theorem Provers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(9), pp. 1436-1448, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Jason G. Tong, Marc Boule, Zeljko Zilic |
Defining and Providing Coverage for Assertion-Based Dynamic Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 26(2), pp. 211-225, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Patrice Chalin |
Engineering a Sound Assertion Semantics for the Verifying Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 36(2), pp. 275-287, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
programming by contract, requirements engineering, Software verification, assertions, logics of programs |
16 | Laurence Pierre, Luca Ferro |
Enhancing the assertion-based verification of TLM designs with reentrancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), Grenoble, France, 26-28 July 2010, pp. 103-112, 2010, IEEE Computer Society, 978-1-4244-7885-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Amirali Ghofrani, Sheis Abolma'ali, Zahra Najafi Haghi, Zainalabedin Navabi |
A TLM2.0 assertion library with centralized monitoring approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2010 East-West Design & Test Symposium, EWDTS 2010, St. Petersburg, Russia, September 17-20, 2010, pp. 402-406, 2010, IEEE Computer Society, 978-1-4244-9555-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Amirali Ghofrani, Fatemeh Javaheri, Zainalabedin Navabi |
Assertion based verification in TLM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2010 East-West Design & Test Symposium, EWDTS 2010, St. Petersburg, Russia, September 17-20, 2010, pp. 509-513, 2010, IEEE Computer Society, 978-1-4244-9555-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Maksim Jenihhin, Jaan Raik, Raimund Ubar, Tatjana Shchenova |
An approach for PSL assertion coverage analysis with high-level decision diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 2010 East-West Design & Test Symposium, EWDTS 2010, St. Petersburg, Russia, September 17-20, 2010, pp. 13-16, 2010, IEEE Computer Society, 978-1-4244-9555-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Marcio F. da S. Oliveira, Henning Zabel, Wolfgang Müller 0003 |
Assertion-based verification of RTOS properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 630-633, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Shobha Vasudevan, David Sheridan, Sanjay J. Patel, David Tcheng, William Tuohy, Daniel R. Johnson |
GoldMine: Automatic assertion generation using data mining and static analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 626-629, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Stefan Lämmermann, Jürgen Ruf, Thomas Kropf, Wolfgang Rosenstiel, Alexander Viehl, Alexander Jesser, Lars Hedrich |
Towards assertion-based verification of heterogeneous system designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 1171-1176, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Chi-Neng Wen, Shu-Hsuan Chou, Tien-Fu Chen, Tay-Jyi Lin |
RunAssert: A non-intrusive run-time assertion for parallel programs debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010, pp. 287-290, 2010, IEEE Computer Society, 978-1-4244-7054-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Po-Hsien Chang, Li-C. Wang |
Automatic assertion extraction via sequential data mining of simulation traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 15th Asia South Pacific Design Automation Conference, ASP-DAC 2010, Taipei, Taiwan, January 18-21, 2010, pp. 607-612, 2010, IEEE, 978-1-60558-837-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Ming Gao, Kwang-Ting Cheng |
A case study of Time-Multiplexed Assertion Checking for post-silicon debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: IEEE International High Level Design Validation and Test Workshop, HLDVT 2010, Anaheim, CA, USA, 10-12 June 2010, pp. 90-96, 2010, IEEE Computer Society, 978-1-4244-7805-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | John Curreri, Greg Stitt, Alan D. George |
High-level synthesis techniques for in-circuit assertion-based verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 24th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings, pp. 1-8, 2010, IEEE. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Frank S. de Boer, Stijn de Gouw, Jurgen J. Vinju |
Prototyping a tool environment for run-time assertion checking in JML with communication histories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTfJP@ECOOP ![In: Proceedings of the 12th Workshop on Formal Techniques for Java-Like Programs, FTFJP 2010, Maribor, Slovenia, June 22, 2010, pp. 6:1-6:7, 2010, ACM, 978-1-4503-0540-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Christoph Benzmüller, Marvin R. G. Schiller |
Adaptive Assertion-Level Proofs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSQMS@IJCAR ![In: Workshop on Evaluation Methods for Solvers, and Quality Metrics for Solutions, EMSQMS 2010, Edinburgh, UK, July 20, 2010, pp. 39-40, 2010, EasyChair. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Ajitha Rajan, Lydie du Bousquet, Yves Ledru, Germán Vega, Jean-Luc Richier |
Assertion-based test oracles for home automation systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MOMPES ![In: Proceedings of the 7th International Workshop on Model-Based Methodologies for Pervasive and Embedded Software, MOMPES 2010, Antwerp, Belgium, September 20-24, 2010, pp. 45-52, 2010, ACM, 978-1-4503-0123-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Jeffrey Pound, David Toman 0001, Grant E. Weddell, Jiewen Wu |
Query Algebra and Query Optimization for Concept Assertion Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Description Logics ![In: Proceedings of the 23rd International Workshop on Description Logics (DL 2010), Waterloo, Ontario, Canada, May 4-7, 2010, 2010, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
|
16 | José Bernardo Barros, Daniela Carneiro da Cruz, Pedro Rangel Henriques, Jorge Sousa Pinto |
Assertion-based Slicing and Slice Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEFM ![In: 8th IEEE International Conference on Software Engineering and Formal Methods, SEFM 2010, Pisa, Italy, 13-18 September 2010, pp. 93-102, 2010, IEEE Computer Society, 978-0-7695-4153-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Faraz Hussain 0001, Gary T. Leavens |
temporaljmlc: A JML Runtime Assertion Checker Extension for Specification and Checking of Temporal Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEFM ![In: 8th IEEE International Conference on Software Engineering and Formal Methods, SEFM 2010, Pisa, Italy, 13-18 September 2010, pp. 63-72, 2010, IEEE Computer Society, 978-0-7695-4153-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Hermann Lehner, Peter Müller 0001 |
Efficient Runtime Assertion Checking of Assignable Clauses with Datagroups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FASE ![In: Fundamental Approaches to Software Engineering, 13th International Conference, FASE 2010, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2010, Paphos, Cyprus, March 20-28, 2010. Proceedings, pp. 338-352, 2010, Springer, 978-3-642-12028-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Harry Foster |
Applied Assertion-Based Verification: An Industry Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Found. Trends Electron. Des. Autom. ![In: Found. Trends Electron. Des. Autom. 3(1), pp. 1-95, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Özlem Uzuner, Xiaoran Zhang, Tawanda C. Sibanda |
Research Paper: Machine Learning and Rule-based Approaches to Assertion Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Am. Medical Informatics Assoc. ![In: J. Am. Medical Informatics Assoc. 16(1), pp. 109-115, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | E. V. Kuzmin 0001, Valery A. Sokolov, D. Ju. Chalyy |
Application of the trace assertion method to the specification, design, and verification of automaton programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Program. Comput. Softw. ![In: Program. Comput. Softw. 35(1), pp. 43-55, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Maksim Jenihhin, Jaan Raik, Anton Chepurov, Raimund Ubar |
PSL Assertion Checking Using Temporally Extended High-Level Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(6), pp. 289-300, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Olaf Noppens |
Negative Property Assertion Pattern (NPAs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOP ![In: Proceedings of the Workshop on Ontology Patterns (WOP 2009) , collocated with the 8th International Semantic Web Conference ( ISWC-2009 ), Washington D.C., USA, 25 October, 2009., 2009, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
16 | Jason G. Tong, Marc Boule, Zeljko Zilic |
Airwolf-TG: A test generator for assertion-based dynamic verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: IEEE International High Level Design Validation and Test Workshop, HLDVT 2009, San Francisco, CA, USA, 4-6 November 2009, pp. 106-113, 2009, IEEE Computer Society, 978-1-4244-4823-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Thomas Knauth, Christof Fetzer, Pascal Felber |
Assertion-Driven Development: Assessing the Quality of Contracts Using Meta-Mutations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST Workshops ![In: Second International Conference on Software Testing Verification and Validation, ICST 2009, Denver, Colorado, USA, April 1-4, 2009, Workshops Proceedings, pp. 182-191, 2009, IEEE Computer Society, 978-0-7695-3671-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Christian Murphy, Kuang Shen, Gail E. Kaiser |
Using JML Runtime Assertion Checking to Automate Metamorphic Testing in Applications without Test Oracles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: Second International Conference on Software Testing Verification and Validation, ICST 2009, Denver, Colorado, USA, April 1-4, 2009, pp. 436-445, 2009, IEEE Computer Society, 978-0-7695-3601-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Bogdan Korel, Qi Zhang, Li Tao |
Assertion-Based Validation of Modified Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: Second International Conference on Software Testing Verification and Validation, ICST 2009, Denver, Colorado, USA, April 1-4, 2009, pp. 426-435, 2009, IEEE Computer Society, 978-0-7695-3601-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Mauro Pezzè, Jochen Wuttke |
LuMiNous - model-driven assertion generation for runtime failure detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE Companion ![In: 31st International Conference on Software Engineering, ICSE 2009, May 16-24, 2009, Vancouver, Canada, Companion Volume, pp. 411-412, 2009, IEEE, 978-1-4244-3494-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Thomas Steininger |
Automated assertion transformation across multiple abstraction levels. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2009 |
RDF |
|
16 | Alexander Jesser, Stefan Lämmermann, Alexander Pacholik, Roland Weiss, Jürgen Ruf, Lars Hedrich, Wolfgang Fengler 0001, Thomas Kropf, Wolfgang Rosenstiel |
Advanced Assertion-Based Design for Mixed-Signal Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 91-A(12), pp. 3548-3555, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|