The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cycle-accurate (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2000 (26) 2001-2002 (33) 2003 (32) 2004 (57) 2005 (68) 2006 (60) 2007 (60) 2008 (54) 2009 (35) 2010 (17) 2011-2012 (17) 2013-2014 (15) 2015-2017 (27) 2018-2019 (18) 2020-2023 (17) 2024 (1)
Publication types (Num. hits)
article(93) inproceedings(440) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 299 keywords

Results
Found 537 publication records. Showing 537 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
6Chris Gniady, Babak Falsafi Speculative Sequential Consistency with Little Custom Storage. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Lin Li 0002, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam Leakage Energy Management in Cache Hierarchies. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Georgi Kuzmanov, Stamatis Vassiliadis, Jos T. J. van Eijndhoven A 2D Addressing Mode for Multimedia Applications. Search on Bibsonomy Embedded Processor Design Challenges The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam EAC: A Compiler Framework for High-Level Energy Estimation and Optimization. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Syed Saif Abrar High Performance Multiprocessor Architecture Design Methodology for Application-Specific Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Zachary K. Baker, Viktor K. Prasanna Performance Modeling and Interpretive Simulation of PIM Architectures and Applications (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Jeanine E. Cook, Richard L. Oliver, Eric E. Johnson Toward reducing processor simulation time via dynamic reduction of microarchitecture complexity. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Mohamed M. Zahran, Manoj Franklin A Feasibility Study of Hierarchical Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Sujoy Basu, Sumit Roy 0002, Raj Kumar, Tom Fisher, Bruce E. Blaho Peppermint and Sled: Tools for Evaluating SMP Systems Based on IA-64 (IPF) Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, architecture, IA-64
6M. Balakrishnan, Anshul Kumar, C. P. Joshi A New Performance Evaluation Approach for System Level Design Space Exploration. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF design space exploration, system level design, statistical simulation
6Chris Y. Chung, Ravi Managuli, Yongmin Kim 0001 Design and Evaluation of a Multimedia Computing Architecture Based on a 3D Graphics Pipeline. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Anoop Iyer, Diana Marculescu Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Michael I. Gordon, William Thies, Michal Karczmarek, Jasper Lin, Ali S. Meli, Andrew A. Lamb, Chris Leger, Jeremy Wong, Henry Hoffmann, David Maze, Saman P. Amarasinghe A stream compiler for communication-exposed architectures. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Yongsoo Joo, Yongseok Choi, Hojun Shim, Hyung Gyu Lee, Kwanho Kim, Naehyuck Chang Energy exploration and reduction of SDRAM memory systems. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low power, memory system, SDRAM
6Trevor N. Mudge Power: A First-Class Architectural Design Constraint. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Christopher T. Weaver, Todd M. Austin A Fault Tolerant Approach to Microprocessor Design. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Wei Zhang 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, David Duarte, Yuh-Fang Tsai Exploiting VLIW schedule slacks for dynamic and leakage energy reduction. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Aneesh Aggarwal, Manoj Franklin Putting Data Value Predictors to Work in Fine-Grain Parallel Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Krishna Kumar Rangan, Philip A. Wilsey, Nilesh Pisolkar, Nael B. Abu-Ghazaleh PPIM-SIM: An Efficient Simulator for a Parallel Processor in Memory. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6André Chátelain, Yves Mathys, Giovanni Placido, Alberto La Rosa, Luciano Lavagno High-level architectural co-simulation using Esterel and C. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Krishna Kumar Rangan, Nael B. Abu-Ghazaleh, Philip A. Wilsey A Distributed Multiple-SIMD Intelligent Memory. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Processing (ICPP '01)-in-memory architectures, PPIM, M-SIMD, ppim-sim, Data-intensive applications
6Amisha Parikh, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Energy-Aware Instruction Scheduling. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Ashutosh S. Dhodapkar, Chee How Lim, George Cai, W. Robert Daasch TEM2P2EST: A Thermal Enabled Multi-model Power/Performance ESTimator. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Xinan Tang, Manning Aalsma, Raymond Jou A Compiler Directed Approach to Hiding Configuration Latency in Chameleon Processors. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Tajana Simunic, Giovanni De Micheli, Luca Benini, Mat Hans Source Code Optimization and Profiling of Energy Consumption in Embedded Systems. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Jürgen Teich, Philipp W. Kutter, Ralph Weper Description and Simulation of Microprocessor Instruction Sets Using ASMs. Search on Bibsonomy Abstract State Machines The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Martin Burtscher, Benjamin G. Zorn Hybridizing and Coalescing Load Value Predictors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Tor E. Jeremiassen Sleipnir - An Instruction-Level Simulator Generator. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Ramesh V. Peri, Srinivas Doddapaneni Compilers and Tools for Embedded Systems - Introduction. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye Energy-driven integrated hardware-software optimizations using SimplePower. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF energy optimization and estimation, energy simulator, hardware-software interaction, system energy, compiler optimizations, low-power architectures
6Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
6Martin Burtscher, Benjamin G. Zorn Exploring Last n Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF predictor design, value prediction, processor performance, value locality, behavior prediction
6Bassam Tabbara, Marco Sgroi, Alberto L. Sangiovanni-Vincentelli, Enrica Filippi, Luciano Lavagno Fast Hardware-Software Co-simulation Using VHDL Models. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Eric Schnarr, James R. Larus Fast Out-Of-Order Processor Simulation Using Memoization. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF out-of-order processor simulation, memoization, direct-execution
6James Smith 0001, Giovanni De Micheli Automated Composition of Hardware Components. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconstruction, emulation, visibility, functional simulation
6Bart Kienhuis, Ed F. Deprettere, Kees A. Vissers, Pieter van der Wolf An Approach for Quantitative Analysis of Application-Specific Dataflow Architectures. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
6Claudio Passerone, Luciano Lavagno, Massimiliano Chiodo, Alberto L. Sangiovanni-Vincentelli Fast Hardware/Software Co-Simulation for Virtual Prototyping and Trade-Off Analysis. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #537 of 537 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license