The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase field-programmable (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (48) 1993 (25) 1994 (85) 1995 (144) 1996 (114) 1997 (147) 1998 (245) 1999 (245) 2000 (258) 2001 (215) 2002 (352) 2003 (425) 2004 (502) 2005 (500) 2006 (574) 2007 (528) 2008 (530) 2009 (382) 2010 (371) 2011 (305) 2012 (339) 2013 (401) 2014 (381) 2015 (329) 2016 (316) 2017 (314) 2018 (308) 2019 (363) 2020 (295) 2021 (273) 2022 (258) 2023 (251) 2024 (58)
Publication types (Num. hits)
article(895) book(3) incollection(9) inproceedings(8833) phdthesis(30) proceedings(111)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3154 occurrences of 1228 keywords

Results
Found 9881 publication records. Showing 9881 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Vinay Sriram, David Kearney High Speed High Fidelity Infrared Scene Simulation Using Reconfigurable Computing. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Nastaran Baradaran, Pedro C. Diniz Memory Parallelism Using Custom Array Mapping to Heterogeneous Storage Structures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20G. Adam Covington, Charles L. G. Comstock, Andrew A. Levine, John W. Lockwood, Young H. Cho High Speed Document Clustering in Reconfigurable Hardware. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Valavan Manohararajah, Stephen Dean Brown, Zvonko G. Vranesic Adaptive FPGAs: High-Level Architecture and a Synthesis Method. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jason D. Bakos, Charles L. Cathey, Allen Michalski Predictive Load Balancing for Interconnected FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ángel Quirós-Olozábal, Ma de los Ángeles Cifredo Chacón, Diego Gomez Vela FPGA-Based Boundary-Scan Bist. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Volodymyr V. Kindratenko, David Pointer A case study in porting a production scientific supercomputing application to a reconfigurable computer. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Uday Bondhugula, Ananth Devulapalli, James Dinan, Joseph Fernando, Pete Wyckoff, Eric Stahlberg, P. Sadayappan Hardware/Software Integration for FPGA-based All-Pairs Shortest-Paths. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Dionissios Efstathiou, Konstantinos Kazakos, Apostolos Dollas Parrotfish: Task Distribution in a Low Cost Autonomous ad hoc Sensor Network through Dynamic Runtime Reconfiguration. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Lee W. Howes, Paul Price, Oskar Mencer, Olav Beckmann FPGAs, GPUs and the PS2 - A Single Programming Methodology. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ronald Scrofano, Maya B. Gokhale, Frans Trouw, Viktor K. Prasanna Hardware/Software Approach to Molecular Dynamics on Reconfigurable Computers. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Gerald R. Morris, Viktor K. Prasanna, Richard D. Anderson A Hybrid Approach for Mapping Conjugate Gradient onto an FPGA-Augmented Reconfigurable Supercomputer. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Heather Quinn, Debayan Bhaduri, Christof Teuscher, Paul S. Graham, Maya B. Gokhale The STAR-C Truth: Analyzing Reconfigurable Supercomputing Reliability. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton, Sergio López-Buedo Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Reid B. Porter, Jan R. Frigo, Maya B. Gokhale, Christophe Wolinski, François Charot, Charles Wagner A Programmable, Maximal Throughput Architecture for Neighborhood Image Processing. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Amit Chowdhary, John P. Hayes Area-optimal technology mapping for field-programmable gate arrays based on lookup tables. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Kara K. W. Poon, Steven J. E. Wilton, Andy Yan A detailed power model for field-programmable gate arrays. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Power estimation model, architecture, sensitivity analysis, power consumption
20David Varghese, J. Neil Ross A continuous-time hierarchical field programmable analogue array for rapid prototyping and hierarchical approach to analogue systems design. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF HFPAA, differential difference amplifier, interconnectivity analysis, non-permuting grouped combinations listing algorithm, rents rule, second generation current-conveyor, hierarchical architecture, FPAA
20Haque Mohammad Munirul, Tomoaki Hasegawa, Michitaka Kameyama Implementation and Evaluation of a Fine-Grain Multiple-Valued Field Programmable VLSI Based on Source-Coupled Logic. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Peter Tawdross, Andreas König 0001 Investigation of Particle Swarm Optimization for Dynamic Reconfiguration of Field-Programmable Analog Circuits. Search on Bibsonomy HIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20David N. Abramson, Jordan D. Gray, Shyam Subramanian, Paul E. Hasler A Field-Programmable Analog Array Using Translinear Elements. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Joachim Becker, Fabian Henrici, Yiannos Manoli System-Level Analog Simulation of a Mixed-Signal Continuous-Time Field Programmable Analog Array. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Jacqueline E. Rice, Kenneth B. Kent, Troy Ronda, Zhao Yong Configurable hardware solutions for computing autocorrelation coefficients: a case study (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Mohamed Taher, Esam El-Araby, Tarek A. El-Ghazawi, Kris Gaj Image processing library for reconfigurable computers (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Exploration of heterogeneous reconfigurable architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Edward Brown, James Irvine 0001, Bill Wilkie Rapid prototyping of a test harness for forward error correcting codes (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Nicola Bruti Liberati, Eckhard Platen, Filippo Martini, Massimo Piccardi An FPGA generator for multipoint distributed random variables (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang Instruction set extension with shadow registers for configurable processors. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shadow register, compilation, ASIP, configurable processor
20Michael Attig, John W. Lockwood A framework for rule processing in reconfigurable network systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Alex K. Jones, Raymond Hoare, Dara Kusic, Joshua Fazekas, John Foster 0001 An FPGA-based VLIW processor with custom hardware execution. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NIOS, parallelism, compiler, synthesis, kernels, VLIW
20Jasmine Lam, John McAllister, Jennifer Dudley Core-Based Methodology: An Automated Approach for Implementing a Complete System from Algorithms to a Heterogeneous Network including FPGAs. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20J. Greg Nash Systolic Architecture for Computing the Discrete Fourier Transform on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF standard hardware binary, FPGA, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning, configurable logic, Place and route, warp processors, just-in-time (JIT) compilation
20Michael Attig, John W. Lockwood A Framework for Rule Processing in Reconfigurable Network Systems. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Pedro C. Diniz Evaluation of Code Generation Strategies for Scalar Replaced Codes in Fine-Grain Configurable Architectures. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Young-Il Kim, Bong-Il Park, Jae-Gon Lee, Chong-Min Kyung SmartGlue: an interface controller with auto reconfiguration for field programmable computing machine. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Joachim Becker, Yiannos Manoli A continuous-time field programmable analog array (FPAA) consisting of digitally reconfigurable GM-cells. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ji Luo 0003, Joseph B. Bernstein, J. Ari Tuchman, Hu Huang 0001, Kuan-Jung Chung, Anthony L. Wilson A High Performance Radiation-Hard Field Programmable Analog Array . Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Marcelo Lubaszewski, Michel Renovell An Approach to the Built-In Self-Test of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ganesh K. Venayagamoorthy, Venu G. Gudise Swarm Intelligence for Digital Circuits Implementation on Field Programmable Gate Arrays Platforms. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Arturo Hernández Aguirre, Ricardo Salem Zebulum, Carlos A. Coello Coello Evolutionary Multiobjective Design targeting a Field Programmable Transistor Array. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Geoffrey Wall, Faizal Iqbal, Jason C. Isaacs, Xiuwen Liu, Simon Y. Foo Real Time Texture Classification using Field Programmable Gate Arrays. Search on Bibsonomy AIPR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Helmut Steckenbiller, Rudi Knorr Buffer schemes for runtime reconfiguration of function variants in communication systems. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Paul Kohlbrenner, Kris Gaj An embedded true random number generator for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TRNG, FPGA, random numbers, RNG, cryptographic
20Sashisu Bajracharya, Chang Shu 0003, Kris Gaj, Tarek A. El-Ghazawi Implementation of elliptic curve cryptosystems over GF(2n) in optimal normal basis on a reconfigurable computer. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Terrence S. T. Mak, Kai-Pui Lam FPGA-Based Computation for Maximum Likelihood Phylogenetic Tree Evaluation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Milos Drutarovský, Viktor Fischer Implementation of a 3-D Switching Median Filtering Scheme with an Adaptive LUM-Based Noise Detector. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Edson L. Horta, John W. Lockwood Automated Method to Generate Bitstream Intellectual Property Cores for Virtex FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Rawat Siripokarpirom Distribution of Bitstream-Level IP Cores for Functional Evaluation Using FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Faycal Bensaali, Abbes Amira Design and Efficient FPGA Implementation of an RGB to YCrCb Color Space Converter Using Distributed Arithmetic. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Joseph Zambreno, David Nguyen, Alok N. Choudhary Exploring Area/Delay Tradeoffs in an AES FPGA Implementation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Didier Keymeulen, Ricardo Salem Zebulum, Adrian Stoica, Vu Duong, Michael I. Ferguson Evolvable Hardware for Signal Separation and Noise Cancellation Using Analog Reconfigurable Device. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Christophe Layer, Hans-Jörg Pfleiderer A Reconfigurable Recurrent Bitonic Sorting Network for Concurrently Accessible Data. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Sashisu Bajracharya, Chang Shu 0003, Kris Gaj, Tarek A. El-Ghazawi Implementation of Elliptic Curve Cryptosystems over GF(2n) in Optimal Normal Basis on a Reconfigurable Computer. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Minoru Watanabe, Fuminori Kobayashi A High-Density Optically Reconfigurable Gate Array Using Dynamic Method. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Jim Tørresen An Evolvable Hardware Tutorial. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Martin Schoeberl Java Technology in an FPGA. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Muhammad Atif Tahir, Ahmed Bouridane, Fatih Kurugollu An FPGA Based Coprocessor for the Classification of Tissue Patterns in Prostatic Cancer. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20César Torres-Huitzil, René Cumplido-Parra, Santos López-Estrada Design and Implementation of a CFAR Processor for Target Detection. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Viktor Fischer, Milos Drutarovský, Martin Simka, Nathalie Bochard High Performance True Random Number Generator in Altera Stratix FPLDs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20David V. Schuehler, John W. Lockwood A Modular System for FPGA-Based TCP Flow Processing in High-Speed Networks. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Keith D. Underwood, K. Scott Hemmert Closing the Gap: CPU and FPGA Trends in Sustainable Floating-Point BLAS Performance. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE floating point, re-configurable computing, FPGA, arithmetic
20Navid Azizi, Ian Kuon, Aaron Egier, Ahmad Darabiha, Paul Chow Reconfigurable Molecular Dynamics Simulator. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Philip James-Roxby, Paul R. Schumacher, Charlie Ross A Single Program Multiple Data Parallel Processing Platform for FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20He Chuan, Mi Lu, Chuanwen Sun Accelerating Seismic Migration Using FPGA-Based Coprocessor Platform. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Dirk Eilers, Helmut Steckenbiller, Andreas Herkersdorf Buffer Schemes for Runtime Reconfiguration of Function Variants in Communication Systems. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Michael Attig, Sarang Dharmapurikar, John W. Lockwood Implementation Results of Bloom Filters for String Matching. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration technology for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Abderrahim Doumar, Hideo Ito Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: a survey. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Jean-Luc Beuchat Some Modular Adders and Multipliers for Field Programmable Gate Arrays. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modulo m addition, modulo m multiplication, FPGA, Computer arithmetic
20Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001 A Field-Programmable Digital Filter Chip Using Multiple-Valued Current-Mode Logic. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20H. S. Ng, Sui-Tung Mak, Kai-Pui Lam Field programmable gate arrays and analog implementation of BRIN for optimization problems. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ricardo Salem Zebulum, Didier Keymeulen, Vu Duong, Xin Guo 0002, Michael I. Ferguson, Adrian Stoica Experimental Results in Evolutionary Fault-Recovery for Field Programmable. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Jeannette Plante, Harry C. Shaw, Lisa P. Mickens, Charles T. Johnson-Bey Overview of Field Programmable Analog Arrays as Enabling Technology for Evolvable Hardware for High Reliability Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Zhibin Dai, Dilip K. Banerji Routability Prediction for Field Programmable Gate Arrays with a Routing Hierarchy. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Joydeep Ray, James C. Hoe High-level modeling and FPGA prototyping of microprocessors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operation-centric, FPGA, evaluation, prototyping, microprocessor, microarchitecture
20François-Xavier Standaert, Gaël Rouvroy, Jean-Jacques Quisquater, Jean-Didier Legat A methodology to implement block ciphers in reconfigurable hardware and its application to fast and compact AES RIJNDAEL. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF AES RIJNDAEL, high encryption rates, FPGA, cryptography, reconfigurable hardware
20Kuan Zhou, Michael Chu, Chao You, Jong-Ru Guo, Channakeshav, John Mayega, John F. McDonald 0001, Russell P. Kraft, Bryan S. Goda A four-bit full adder implemented on fast SiGe FPGAs with novel power control scheme. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20M. A. Hannan Bin Azhar, Keith R. Dimond FPGA-based design of an evolutionary controller for collision-free robot navigation. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Allen Michalski, Kris Gaj, Tarek A. El-Ghazawi An Implementation Comparison of an IDEA Encryption Cryptosystem on Two General-Purpose Reconfigurable Computers. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20François-Xavier Standaert, Loïc van Oldeneel tot Oldenzeel, David Samyde, Jean-Jacques Quisquater Power Analysis of FPGAs: How Practical is the Attack? Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20John W. Lockwood, Christopher E. Neely, Christopher K. Zuver, James Moscola, Sarang Dharmapurikar, David Lim An Extensible, System-On-Programmable-Chip, Content-Aware Internet Firewall. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Leonel Sousa, Pedro Tomás, Francisco J. Pelayo, Antonio Martínez-Álvarez, Christian A. Morillas, Samuel F. Romero An FPL Bioinspired Visual Encoding System to Stimulate Cortical Neurons in Real-Time. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Javier Ramírez 0001, Uwe Meyer-Bäse, Antonio García 0001, Antonio Lloris-Ruíz Design and Implementation of RNS-Based Adaptive Filters. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Roland H. C. Yap, Stella Z. Q. Wang, Martin Henz Hardware Implementations of Real-Time Reconfigurable WSAT Variants. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Miguel Angel Aguirre Echánove, Jonathan Noel Tombs, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo UNSHADES-1: An Advanced Tool for In-System Run-Time Hardware Debugging. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Nuno Roma, Tiago Dias 0001, Leonel Sousa Customisable Core-Based Architectures for Real-Time Motion Estimation on FPGAs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Stuart Colsell, Reuben Edwards Adaptive Real-Time Systems and the FPAA. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20David V. Schuehler, Harvey Ku, John W. Lockwood A TCP/IP Based Multi-device Programming Circuit. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Haibo Wang 0005, Sarma B. K. Vrudhula Behavioral synthesis of field programmable analog array circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable circuits, analog synthesis
20Alan Daly, William P. Marnane Efficient architectures for implementing montgomery modular multiplication and RSA modular exponentiation on reconfigurable logic. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, encryption, RSA, public key, exponentiation, modular multiplication, montgomery
20Andy Yan, Rebecca Cheng, Steven J. E. Wilton On the sensitivity of FPGA architectural conclusions to experimental assumptions, tools, and techniques. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Barry Shackleford, Motoo Tanaka, Richard J. Carter, Greg Snider FPGA implementation of neighborhood-of-four cellular automata random number generators. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, cellular automata, random number generator
20Miguel Arias-Estrada, Eduardo Rodríguez-Palacios An FPGA Co-processor for Real-Time Visual Tracking. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Sebastian Friebe, Steffen Köhler, Rainer G. Spallek, Henrik Juhr, Klaus Künanz A Reconfigurable System-on-Chip-Based Fast EDM Process Monitor. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Dylan Carline, Paul Coulton A Novel Watermarking Technique for LUT Based FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Alex Carreira, Trevor W. Fox, Laurence E. Turner A Method for Implementing Bit-Serial Finite Impulse Response Digital Filters in FPGAs Using JBitsTM. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Daniel G. Saab, Fatih Kocan, Jacob A. Abraham Massively Parallel/Reconfigurable Emulation Model for the D-algorithm. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Yajun Ha, Radovan Hipik, Serge Vernalde, Diederik Verkest, Marc Engels, Rudy Lauwereins, Hugo De Man Adding Hardware Support to the HotSpot Virtual Machine for Domain Specific Applications. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 9881 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license