The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Michael B. Healy, Hsien-Hsin S. Lee, Gabriel H. Loh, Sung Kyu Lim Thermal optimization in multi-granularity multi-core floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Chia-Pin R. Liu A Novel Floorplanning for Hierarchical VLSI Design. Search on Bibsonomy CATA The full citation details ... 2009 DBLP  BibTeX  RDF
17Rajeev K. Nain, Malgorzata Chrzanowska-Jeske Placement-aware 3D Floorplanning. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Fubing Mao, Yuchun Ma, Ning Xu 0006, Xianlong Hong, Yu Wang 0002 Multi-objective Floorplanning Based on Fuzzy Logic. Search on Bibsonomy FSKD (4) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong Simultaneous buffer and interlayer via planning for 3D floorplanning. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Louis K. Scheffer Industrial Floorplanning and Prototyping. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Susmita Sur-Kolay Floorplanning. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Dinesh P. Mehta, Yan Feng Recent Advancesin Floorplanning. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chao-Hung Lu, Hung-Ming Chen, Chien-Nan Jimmy Liu An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2008 DBLP  BibTeX  RDF
17Jing Liu 0006, Weicai Zhong, Licheng Jiao, Xue Li 0001 Moving Block Sequence and Organizational Evolutionary Algorithm for General Floorplanning With Arbitrarily Shaped Rectilinear Blocks. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jill H. Y. Law, Evangeline F. Y. Young Multi-bend bus driven floorplanning. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nikolaos G. Bourbakis A generic, formal language-based methodology for hierarchical floorplanning-placement. Search on Bibsonomy Comput. Lang. Syst. Struct. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Junhua Wu, Chunmei Ma, Baogui Huang Congestion Aware High Level Synthesis Combined with Floorplanning. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Renshen Wang, Evangeline F. Y. Young, Yi Zhu 0002, Fan Chung Graham, Ronald L. Graham, Chung-Kuan Cheng 3-D floorplanning using labeled tree and dual sequences. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3-D packing, sequence, labeled tree
17Guolong Chen, Wenzhong Guo, Hongju Cheng, Xiang Feng, Xiaotong Fang VLSI floorplanning based on Particle Swarm Optimization. Search on Bibsonomy ISKE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sea-Ho Kim, Byung-Gyu Ahn, Ki-Seok Chung, Sung-Hwan Oh Timing driven force-directed floorplanning with incremental static timing analyzer. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tao Wan, Malgorzata Chrzanowska-Jeske A novel net-degree distribution model and its application to floorplanning benchmark generation. Search on Bibsonomy Integr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Love Singhal, Elaheh Bozorgzadeh Multi-layer floorplanning for reconfigurable designs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yongkui Han, Israel Koren Simulated Annealing Based Temperature Aware Floorplanning. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17De-Sheng Chen, Chang-Tzu Lin, Yiwen Wang 0003, Ching-Hwa Cheng Fixed-outline floorplanning using robust evolutionary search. Search on Bibsonomy Eng. Appl. Artif. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Pingqiang Zhou, Yuchun Ma, Qiang Zhou 0001, Xianlong Hong Thermal Effects with Leakage Power Considered in 2D/3D Floorplanning. Search on Bibsonomy CAD/Graphics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Heyong Wang, Kang Hu, Jing Liu 0006, Licheng Jiao Multiagent evolutionary algorithm for floorplanning using moving block sequence. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sumanta Chaudhuri, Jean-Luc Danger, Sylvain Guilley Efficient Modeling and Floorplanning of Embedded-FPGA Fabric. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rong Luo, Peng Sun A Novel Ant Colony Optimization Based Temperature-Aware Floorplanning Algorithm. Search on Bibsonomy ICNC (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Won-Jin Kim, Ki-Seok Chung An incremental floorplanning algorithm for temperature reduction. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hailin Jiang, Malgorzata Marek-Sadowska Power-Gating Aware Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Takayoshi Shimazu, Shin'ichi Wakabayashi, Shinobu Nagayama A Parallel Multistage Metaheuristic Algorithm for VLSI Floorplanning. Search on Bibsonomy PDPTA The full citation details ... 2007 DBLP  BibTeX  RDF
17Jyh Perng Fang, Yang-Shan Tong, Sao-Jie Chen An Enhanced BSA for Floorplanning. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003 Modern Floorplanning with Boundary and Fixed-outline Constraints via Genetic Clustering Algorithm. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yangdong Deng, Peng Li 0001 Temperature-Aware Floorplanning of 3-D ICs Considering Thermally Dependent Leakage Power. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani, Chung-Kuan Cheng Hierarchical 3-D Floorplanning Algorithm for Wirelength Optimization. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Masaya Yoshikawa, Hidekazu Terai Dedicated Floorplanning Engine Architecture Based on Genetic Algorithm and Evaluation. Search on Bibsonomy J. Adv. Comput. Intell. Intell. Informatics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sheqin Dong, Rensheng Wang, Fan Guo, Jun Yuan, Xianlong Hong Floorplanning by A Revised 3-D Corner Block List with sub-C+-tree. Search on Bibsonomy JCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sami J. Habib Modeling the Coverage Problem in Wireless Sensor Networks as Floorplanning and Placement Problems. Search on Bibsonomy Wireless and Optical Communications The full citation details ... 2006 DBLP  BibTeX  RDF
17Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar Comparing simulation techniques for microarchitecture-aware floorplanning. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shaojun Wei, Sheqin Dong, Xianlong Hong, Youliang Wu On handling the fixed-outline constraints of floorplanning using less flexibility first principles. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Magdy S. Abadir Floorplanning and Thermal Impact on Leakage Power and Proper Operation of Complex SOC Designs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Karthik Sankaranarayanan, Sivakumar Velusamy, Mircea R. Stan, Kevin Skadron A Case for Thermal-Aware Floorplanning at the Microarchitectural Level. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2005 DBLP  BibTeX  RDF
17Rong Liu, Sheqin Dong, Xianlong Hong An efficient algorithm to fixed-outline floorplanning based on instance augmentation. Search on Bibsonomy CAD/Graphics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ashok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, Jason Cong Microarchitecture evaluation with floorplanning and interconnect pipelining. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Masaya Yoshikawa, Hidekazu Terai Hybrid genetic algorithm engine for high-speed floorplanning. Search on Bibsonomy ECCTD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tung-Chieh Chen, Yao-Wen Chang, Shyh-Chang Lin IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jin-Tai Yan, Kai-Ping Lin, Yen-Hsiang Chen Decoupling capacitance allocation in noise-aware floorplanning based on DBL representation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yunfeng Wang, Jinian Bian, Xianlong Hong Interconnect delay optimization via high level re-synthesis after floorplanning. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jacob R. Minz, Eric Wong 0002, Sung Kyu Lim Reliability-aware floorplanning for 3D circuits. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gregory J. Briggs, Edwin J. Tan, Nicholas A. Nelson, David H. Albonesi QUILT: a GUI-based integrated circuit floorplanning environment for computer architecture research and education. Search on Bibsonomy WCAE@ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Guowu Yang, Xiaoyu Song, Hannah Honghua Yang, Fei Xie A Theoretical Upper Bound for IP-Based Floorplanning. Search on Bibsonomy COCOON The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jing Liu 0006, Weicai Zhong, Licheng Jiao Moving Block Sequence and Organizational Evolutionary Algorithm for General Floorplanning. Search on Bibsonomy CIS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu A buffer planning algorithm for chip-level floorplanning. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Richard Auletta Expert System Perimeter Block Placement Floorplanning. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang, Hsin-Lung Chen Temporal floorplanning using 3D-subTCG. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yi-Hui Cheng, Yao-Wen Chang Integrating buffer planning with floorplanning for simultaneous multi-objective optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Marcin Jeske, Grzegorz Blakiewicz, Malgorzata Chrzanowska-Jeske, Benyi Wang Substrate noise-aware floorplanning for mixed-signal SOCs. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
17Tao Wan, Malgorzata Chrzanowska-Jeske Generating random benchmark circuits for floorplanning. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Pun Hang Shiu, Ramprasad Ravichandran, Siddharth Easwar, Sung Kyu Lim Multi-layer floorplanning for reliable system-on-package. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Ching-Chung Hu, De-Sheng Chen, Yiwen Wang 0003 Fast multilevel floorplanning for large scale modules. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Grzegorz Blakiewicz, Marcin Jeske, Malgorzata Chrzanowska-Jeske Substrate noise optimization in early floorplanning for mixed signal SOCs. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Saurabh N. Adya Unification of VLSI placement and floorplanning. Search on Bibsonomy 2004   RDF
17Keith W. C. Wong, Evangeline F. Y. Young Fast buffer planning and congestion optimization in interconnect-driven floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Interconnect-driven floorplanning by searching alternative packings. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Katherine Shu-Min Li, Yih-Huai Cherng, Yao-Wen Chang Noise-aware buffer planning for interconnect-driven floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hung-Ming Chen, Li-Da Huang, I-Min Liu, Minghorng Lai, D. F. Wong 0001 Floorplanning with power supply noise avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Iris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou, Kai-Yuan Chao Simultaneous floorplanning and buffer block planning. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Shinya Yamasaki, Shingo Nakaya, Shin'ichi Wakabayashi, Tetsushi Koide A Performance-Driven Floorplanning Method with Interconnect Performance Estimation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
17Miguel F. Anjos, Anthony Vannelli An Attractor-Repeller approach to floorplanning. Search on Bibsonomy Math. Methods Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Hua Tang, Alex Doboli Layout-Aware Synthesis Methodology for Analog Systems Based on Combined Block Sizing, Floorplanning and Global Routing. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
17Yuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu Floorplanning with abutment constraints based on corner block list. Search on Bibsonomy Integr. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Saurabh N. Adya, Igor L. Markov Fixed-outline Floorplanning through Better Local Search. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Hung-Ming Chen, D. F. Wong 0001, Wai-Kei Mak, Hannah Honghua Yang Faster and more accurate wiring evaluation in interconnect-centric floorplanning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Yuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu Floorplanning with Abutment Constraints and L-Shaped/T-Shaped Blocks based on Corner Block List. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh 3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Nikolaos G. Bourbakis, Mohammad Mortazavi A floorplanning-Synthesis Methodology for Multiple Chip Module Design. Search on Bibsonomy Trans. SDPS The full citation details ... 2000 DBLP  BibTeX  RDF
17Abdallah Tabbara, Bassam Tabbara, Robert K. Brayton, A. Richard Newton Integration of retiming with architectural floorplanning. Search on Bibsonomy Integr. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Bah-Hwee Gwee, Meng-Hiot Lim A GA with heuristic-based decoder for IC floorplanning. Search on Bibsonomy Integr. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Milan Vasilko, Graham Benyon-Tinker Automatic Temporal Floorplanning with Guaranteed Solution Feasibility. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17María José Gil Larrea, José Miguel Urquijo Aramburu, José Luis Gutiérrez Temiño Minimum area in wheels [VLSI floorplanning]. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Patrick Groeneveld, Jacob Greidinger, J. George Janac, Wilm E. Donath The right floorplanning formulations for future chip implementation methodologies (panel discussion - title only). Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centric floorplanning. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Shingo Nakaya, Tetsushi Koide, Shin'ichi Wakabayashi An adaptive genetic algorithm for VLSI floorplanning based on sequence-pair. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tetsushi Koide, Shin'ichi Wakabayashi A timing-driven floorplanning algorithm with the Elmore delay model for building block layout. Search on Bibsonomy Integr. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Milan Vasilko DYNASTY: A Temporal Floorplanning Based CAD Framework for Dynamically Reconfigurable Logic Systems. Search on Bibsonomy FPL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Manfred Schoelzke Timing driven floorplanning beim hierarchischen VLSI-Entwurf. Search on Bibsonomy 1999   RDF
17Shantanu Tarafdar, Miriam Leeser, Zixin Yin Integrating floorplanning in data-transfer based high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Israel Koren, Zahava Koren Yield and Routing Objectives in Floorplanning. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Zahava Koren, Israel Koren On the effect of floorplanning on the yield of large area integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Helena Krupnova, Christian Rabedaoro, Gabriele Saucier Synthesis and Floorplanning for Large Hierarchical FPGAs. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Jianzhong Shi, Dinesh Bhatia Performance Driven Floorplanning for FPGA Based Designs. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Maggie Zhiwei Kang, Wayne Wei-Ming Dai General floorplanning with L-shaped, T-shaped and soft blocks based on bounded slicing grid structure. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Dinesh P. Mehta, Naveed A. Sherwani A Minimum-Area Floorplanning Algorithm for MBC Designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Gary K. H. Yeap, Majid Sarrafzadeh Sliceable Floorplanning by Graph Dualization. Search on Bibsonomy SIAM J. Discret. Math. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Habib Youssef, Sadiq M. Sait, Khalid J. Al-Farra Timing influenced force directed floorplanning. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Morteza Saheb Zamani, Graham R. Hellestrand A Stepwise Refinement Algorithm for Integrated Floorplanning, Placement and Routing of Hierarchical Designs. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Kai-Yuan Chao, D. F. Wong 0001 Floorplanning for Low Power Designs. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Abdelhakim Safir, Baher Haroun, Krishnaiyan Thulasiraman Floorplanning with Datapath Optimization. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Carsten F. Ball, Peter V. Kraus, Dieter A. Mlynski Fuzzy Partitioning applied to VLSI-Floorplanning and Placement. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Tetsushi Koide, Yoshinori Katsura, Katsumi Yamatani, Shin'ichi Wakabayashi, Noriyoshi Yoshida A Floorplanning Method with Topological Constraint Manipulation. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license