The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manycore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2008 (54) 2009 (24) 2010 (44) 2011 (35) 2012 (83) 2013 (52) 2014 (104) 2015 (66) 2016 (51) 2017 (65) 2018 (75) 2019 (52) 2020 (38) 2021 (39) 2022 (23) 2023 (23) 2024 (7)
Publication types (Num. hits)
article(210) book(2) incollection(7) inproceedings(559) phdthesis(51) proceedings(6)
Venues (Conferences, Journals, ...)
MCSoC(81) CoRR(41) IFMT(23) DATE(17) IPDPS(15) PARMA-DITAM@HiPEAC(12) DAC(11) J. Supercomput.(11) Euro-Par(10) RACES@SPLASH(10) ASP-DAC(9) ICCAD(9) ICCS(9) Concurr. Comput. Pract. Exp.(8) HPCS(8) ICPP(8) More (+10 of total 285)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 140 occurrences of 102 keywords

Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Mukesh Agrawal 0001, Michael Richter 0002, Krishnendu Chakrabarty Test-Delivery Optimization in Manycore SOCs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Nicolas Melot, Christoph W. Keßler, Jörg Keller 0001, Patrick Eitschberger Fast Crown Scheduling Heuristics for Energy-Efficient Mapping and Scaling of Moldable Streaming Tasks on Manycore Systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Andreas Olofsson, Tomas Nordström, Zain-ul-Abdin Kickstarting High-performance Energy-efficient Manycore Architectures with Epiphany. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Ashkan Tousimojarad, Wim Vanderbauwhede Cache-aware Parallel Programming for Manycore Processors. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Francesco Lettich, Salvatore Orlando 0001, Claudio Silvestri, Christian S. Jensen Manycore processing of repeated range queries over massive moving objects observations. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein Comparing the Performance of Different x86 SIMD Instruction Sets for a Medical Imaging Application on Modern Multi- and Manycore Chips. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Jonathan Passerat-Palmbach, David R. C. Hill How to Correctly Deal With Pseudorandom Numbers in Manycore Environments - Application to GPU programming with Shoverand. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Francesco Lettich, Salvatore Orlando 0001, Claudio Silvestri Manycore processing of repeated k-NN queries over massive moving objects observations. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Ashkan Tousimojarad, Wim Vanderbauwhede An Efficient Thread Mapping Strategy for Multiprogramming on Manycore Processors. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Aaron Knoll, Ingo Wald, Paul A. Navrátil, Anne Bowen, Khairi Reda, Michael E. Papka, Kelly P. Gaither RBF Volume Ray Casting on Multicore and Manycore CPUs. Search on Bibsonomy Comput. Graph. Forum The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Spyros Lyberis, George Kalokerinos, Michalis Lygerakis, Vassilis Papaefstathiou, Iakovos Mavroidis, Manolis Katevenis, Dionisios N. Pnevmatikatos, Dimitrios S. Nikolopoulos FPGA prototyping of emerging manycore architectures for parallel programming research using Formic boards. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yvain Thonnart, Mounir Zid Technology assessment of silicon interposers for manycore SoCs: Active, passive, or optical? Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Marco Balboni, Marta Ortín-Obón, Alessandro Capotondi, Hervé Tatenguem Fankem, Alberto Ghiribaldi, Luca Ramini, Víctor Viñals, Andrea Marongiu, Davide Bertozzi Augmenting manycore programmable accelerators with photonic interconnect technology for the high-end embedded computing domain. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Luca Ramini, Hervé Tatenguem Fankem, Alberto Ghiribaldi, Paolo Grani, Marta Ortín-Obón, Anja Boos, Sandro Bartolini Towards compelling cases for the viability of silicon-nanophotonic technology in future manycore systems. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Florian Kluge, Mike Gerdes 0001, Theo Ungerer An Operating System for Safety-Critical Applications on Manycore Processors. Search on Bibsonomy ISORC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Oliver Jakob Arndt, Daniel Becker 0001, Florian Giesemann, Guillermo Payá Vayá, Christopher Bartels, Holger Blume Performance evaluation of the Intel Xeon Phi manycore architecture using parallel video-based driver assistance algorithms. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ayoub Nouri, Marius Bozga, Anca Molnos, Axel Legay, Saddek Bensalem Building faithful high-level models and performance evaluation of manycore embedded systems. Search on Bibsonomy MEMOCODE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Florian Kluge, Mike Gerdes 0001, Theo Ungerer The Boot Process in Real-time Manycore Processors. Search on Bibsonomy RTNS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mahmut T. Kandemir, Wei Ding 0008, Diana R. Guttman Quantifying and Optimizing the Impact of Victim Cache Line Selection in Manycore Systems. Search on Bibsonomy MASCOTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yosi Ben-Asher, Jacob Gendel, Gadi Haber, Oren Segal, Yousef Shajrawi 1K manycore FPGA shared memory architecture for SOC (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun Thermal management of manycore systems with silicon-photonic networks. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Cristina Silvano, Gianluca Palermo, Sotirios Xydis, Ioannis S. Stamelakos Voltage island management in near threshold manycore architectures to mitigate dark silicon. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Linhai Song, Min Feng 0001, Nishkam Ravi, Yi Yang 0018, Srimat T. Chakradhar COMP: Compiler Optimizations for Manycore Processors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Benoît Dupont de Dinechin, Yves Durand, Duco van Amstel, Alexandre Ghiti Guaranteed Services of the NoC of a Manycore Processor. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16 IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014 Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  BibTeX  RDF
16Rohan Bhalla, Prathmesh Kallurkar, Nitin Gupta, Smruti R. Sarangi TriKon: A hypervisor aware manycore processor. Search on Bibsonomy HiPC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Taku Shimosawa, Balazs Gerofi, Masamichi Takagi, Gou Nakamura, Tomoki Shirasawa, Yuji Saeki, Masaaki Shimizu, Atsushi Hori, Yutaka Ishikawa Interface for heterogeneous kernels: A framework to enable hybrid OS designs targeting high performance computing on manycore architectures. Search on Bibsonomy HiPC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Pierre Schweitzer, Claude Mazel, David R. C. Hill, Cristina Carloganu Inputs of aspect oriented programming for the profiling of C++ parallel applications on manycore platforms. Search on Bibsonomy HPCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano Variation-aware voltage island formation for power efficient near-threshold manycore architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Anderson Boettge Pinheiro, Francisco Heron de Carvalho Junior, Neemias Gabriel Pena Batista Arruda, Tiago Carneiro 0001 Fusion: Abstractions for Multicore/Manycore Heterogenous Parallel Programming Using GPUs. Search on Bibsonomy SBLP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs. Search on Bibsonomy Euro-Par Workshops (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Balazs Gerofi, Masamichi Takagi, Yutaka Ishikawa Exploiting Hidden Non-uniformity of Uniform Memory Access on Manycore CPUs. Search on Bibsonomy Euro-Par Workshops (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Omid Shahmirzadi, Thomas Ropars, André Schiper High-Throughput Maps on Message-Passing Manycore Architectures: Partitioning versus Replication. Search on Bibsonomy Euro-Par The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Andreas Olofsson, Tomas Nordström, Zain-ul-Abdin Kickstarting high-performance energy-efficient manycore architectures with Epiphany. Search on Bibsonomy ACSSC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Romain Brillu, Sébastien Pillement, Aymen Abdellah, Fabrice Lemonnier, Philippe Millet FlexTiles: a globally homogeneous but locally heterogeneous manycore architecture. Search on Bibsonomy RAPIDO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xing Liu, Edmond Chow Large-Scale Hydrodynamic Brownian Simulations on Multicore and Manycore Architectures. Search on Bibsonomy IPDPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Fengguang Song, Jack J. Dongarra Scaling up matrix computations on shared-memory manycore systems with 1000 CPU cores. Search on Bibsonomy ICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yuki Soma, Balazs Gerofi, Yutaka Ishikawa Revisiting virtual memory for high performance computing on manycore architectures: a hybrid segmentation kernel approach. Search on Bibsonomy ROSS@ICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Süleyman Savas, Essayas Gebrewahid, Zain-ul-Abdin, Tomas Nordström, Mingkun Yang An evaluation of code generation of dataflow languages on manycore architectures. Search on Bibsonomy RTCSA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Stefan Wallentowitz, Stefan Rosch, Thomas Wild, Andreas Herkersdorf, Volker Wenzel, Jörg Henkel Dependable task and communication migration in tiled manycore system-on-chip. Search on Bibsonomy FDL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Masaki Kondo, Fumio Arakawa, Masato Edahiro Establishing a standard interface between multi-manycore and software tools - SHIM. Search on Bibsonomy COOL Chips The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Bryce Holton, Ke Bai 0002, Aviral Shrivastava, Harini Ramaprasad Construction of GCCFG for inter-procedural optimizations in Software Managed Manycore (SMM) architectures. Search on Bibsonomy CASES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen Dark silicon aware power management for manycore systems under dynamic workloads. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Alexandre Mercat, Jean-François Nezan, Daniel Ménard, Jinglin Zhang Implementation of a Stereo Matching algorithm onto a Manycore Embedded System. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yonggang Che, Lilun Zhang, Yongxian Wang, Chuanfu Xu, Wei Liu 0140, Xinghua Cheng Performance Optimization of a CFD Application on Intel Multicore and Manycore Architectures. Search on Bibsonomy ACA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Márcio Castro 0001, Fabrice Dupros, Emilio Francesquini, Jean-François Méhaut, Philippe Olivier Alexandre Navaux Energy Efficient Seismic Wave Propagation Simulation on a Low-Power Manycore Processor. Search on Bibsonomy SBAC-PAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mukesh Agrawal 0001, Krishnendu Chakrabarty Test-time optimization in NOC-based manycore SOCs using multicast routing. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Arash Rezaei, Giuseppe Coviello, Cheng-Hong Li, Srimat T. Chakradhar, Frank Mueller 0001 Snapify: capturing snapshots of offload applications on xeon phi manycore processors. Search on Bibsonomy HPDC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hartwig Anzt, Dimitar Lukarski, Stanimire Tomov, Jack J. Dongarra Self-adaptive Multiprecision Preconditioners on Multicore and Manycore Architectures. Search on Bibsonomy VECPAR The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein Comparing the performance of different x86 SIMD instruction sets for a medical imaging application on modern multi- and manycore chips. Search on Bibsonomy WPMVP@PPoPP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Markus Stürmer Performance Engineering of Numerical Software on Multi- and Manycore Processors. Search on Bibsonomy 2014   RDF
16Nathalie Rauschmayr Optimisation of LHCb Applications for Multi- and Manycore Job Submission. Search on Bibsonomy 2014   RDF
16Vassilis Papaefstathiou Architectural support for software-guided energy reduction of manycore communication Search on Bibsonomy 2014   RDF
16Ke Bai 0002 Compiler and Runtime for Memory Management on Software Managed Manycore Processors. Search on Bibsonomy 2014   RDF
16Safae Dahmani, Loïc Cudennec, Stéphane Louise, Guy Gogniat Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Masato Yoshimi, Ryu Kudo, Yasin Oge, Yuta Terada, Hidetsugu Irie, Tsutomu Yoshinaga An FPGA-Based Tightly Coupled Accelerator for Data-Intensive Applications. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Tadayoshi Horita, Itsuo Takanami, Masakazu Akiba, Mina Terauchi, Tsuneo Kanno A GPGPU-Based Acceleration of Fault-Tolerant MLP Learnings. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Nicolas Hili, Christian Fabre, Ivan Llopard, Sophie Dupuy-Chessa, Dominique Rieu Model-Based Platform Composition for Embedded System Design. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Thiem Van Chu, Shimpei Sato, Kenji Kise KNoCEmu: High Speed FPGA Emulator for Kilo-node Scale NoCs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ahmed Aldammas, Adel Soudani, Abdullah Al-Dhelaan A Buffered Flow Control Scheme with Flit Weight-Based Dropping Mechanism for Efficient Communication in NoC. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Keiko Igarashi, Saki Seino, Rentaro Yoshioka Symbols and Rules for a Self-Explanatory Machine Model. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Junxiu Liu, Jim Harkin, Yuhua Li 0001, Liam P. Maguire, Alejandro Linares-Barranco Low Overhead Monitor Mechanism for Fault-Tolerant Analysis of NoC. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Artur Podobas Accelerating Parallel Computations with OpenMP-Driven System-on-Chip Generation for FPGAs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Qiangqiang Shi, Yiyang Yang, Xiaolin Li Application of GPU to Three Computational Models. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Satoshi Ohshima, Takahiro Katagiri, Masaharu Matsumoto Performance Optimization of SpMV Using CRS Format by Considering OpenMP Scheduling on CPUs and MIC. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Dingding Li, Yong Tang 0001, Bing Liu, Zhendong Yang, Gansen Zhao, Jianguo Li A Network-Friendly Disk I/O Optimization Framework in a Virtualized Cloud System. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Bin Cao 0002, Li Qiao, Yun Li 0001 Stackelberg Game Theoretic Approach for Probabilistic Network Coding in Retransmission Mechanism. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Huakang Li, Guozi Sun, Bei Xu, Li Li 0012, Jie Huang 0012, Keita Tanno, Wenxu Wu, Changen Xu An Information Classification Approach Based on Knowledge Network. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Arreytambe Tabot, Mohamed Hamada 0001 Mobile Learning with Google App Engine. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Lie Jin, Hongtao Wang, Haitao Wang 0005, Xinxin Wu Numerical Simulation of 3-D Elastic Moduli with Elliptical Cracks Using FM-DBEM. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mohamed Hamada 0001, Aree Muhammed, Kadir Tufan Smart Cloud-based Implementation of a Learning Style Index. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hitoshi Ueno A Performance Evaluation of Multi-programming Model on a Multicore System with Virtual Machines. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Takahiro Katagiri, Satoshi Ohshima, Masaharu Matsumoto Auto-tuning of Computation Kernels from an FDM Code with ppOpen-AT. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Satoru Miyasono, Yosuke Moriai, Hiroshi Saito A Code Partitioning Tool for Simulink Models to Implement on FPGA-Based Network-on-Chip Architecture. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yu Fujita, Kimiyoshi Usami, Hideharu Amano A Thermal Management System for Building Block Computing Systems. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sourav Dutta, Sheheeda Manakkadu, Dimitri Kagaris Classifying Performance Bottlenecks in Multi-threaded Applications. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Takahiro Honda, Yukihide Kohira An Acceleration for Any-Angle Routing Using Quasi-Newton Method on GPGPU. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Weiwei Fu, Mingmin Yuan, Tianzhou Chen, Li Liu 0006, Minghui Wu 0001 SAMNoC: A Novel Optical Network-on-Chip for Energy-Efficient Memory Access. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Feiyao Wang, Wenyan Wang Performance Validation of the Multicore SoC for Spacecraft Applications. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ali A. El-Moursy Adaptive V-Set Cache for Multi-core Processors. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ruth Cortez, Alexander Vazhenin, John Brine Automatic Glossing Services for E-learning Cloud Environments. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Deze Zeng, Chao Teng, Hong Yao, Qingzhong Liang, Chengyu Hu, Xuesong Yan Stochastic Analysis of Epidemic Routing Based Anycast in Throwbox-Equipped DTNs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Stéphane Louise, Paul Dubrulle, Thierry Goubier A Model of Computation for Real-Time Applications on Embedded Manycores. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Amr Saleh Elhelw, Ali El-Moursy, Hossam A. H. Fahmy Time-Based Least Memory Intensive Scheduling. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Paul Neve, Gordon Hunter, David Livingstone NoobLab: An E-learning Platform for Teaching Programming. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Juan Pedro Cobos Carrascosa, Beatriz Aparicio del Moral, Jose Luis Ramos Mas, Antonio C. López Jiménez, J. C. del Toro Iniesta A Multicore Architecture for High-Performance Scientific Computing Using FPGAs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Huan Ke, Song Guo 0001, Toshiaki Miyazaki Towards Latency-Aware Data Acquisition in Wireless Sensor Network. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hui Wang, Ping Lv Evaluation of Memory Optimization in a Large-Scale Structural Finite Element Pre-processor. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Shota Furuya, Katsuki Yanai, Rentaro Yoshioka An Analysis Tool for a Programming Contest for High-School Students. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hidehito Sawai, Rentaro Yoshioka A Format for Work Specification. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Aleksandar Simevski, Rolf Kraemer, Milos Krstic Investigating Core-Level N-Modular Redundancy in Multiprocessors. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Martin Ebner, Christoph Prettenthaler, Mohamed Hamada 0001 Cloud-Based Service for eBooks Using EPUB under the Aspect of Learning Analytics. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hamed Khandan Introducing A-Cell for Scalable and Portable SIMD Programming. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ta Kang Yen, Bo Yao Yu, Bo-Cheng Charles Lai A Cache Aware Multithreading Decision Scheme on GPGPUs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ping Lv, Han Wang, Hui Wang Phase Distribution Parameter Prediction Using Logistic Model in the Analysis of Two-Phase Flow. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Piyu Lee Are Mobile Devices More Useful than Conventional Means as Tools for Learning Vocabulary? Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xiong Xiao, Shoichi Hirasawa, Hiroyuki Takizawa, Hiroaki Kobayashi An Approach to Customization of Compiler Directives for Application-Specific Code Transformations. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yuichi Okuyama, Shigeyuki Takano, Tokimasa Shirai Design of a Coarse-Grained Processing Element for Matrix Multiplication on FPGA. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Akram Ben Ahmed, Michael Conrad Meyer, Yuichi Okuyama 0001, Abderazek Ben Abdallah Adaptive Error- and Traffic-Aware Router Architecture for 3D Network-on-Chip Systems. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Oliver Mattes, Wolfgang Karl Self-aware Memory: an adaptive memory management system for upcoming manycore architectures and its decentralized self-optimization process. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 835 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license