|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 140 occurrences of 102 keywords
|
|
|
Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Mukesh Agrawal 0001, Michael Richter 0002, Krishnendu Chakrabarty |
Test-Delivery Optimization in Manycore SOCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(7), pp. 1067-1080, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Nicolas Melot, Christoph W. Keßler, Jörg Keller 0001, Patrick Eitschberger |
Fast Crown Scheduling Heuristics for Energy-Efficient Mapping and Scaling of Moldable Streaming Tasks on Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 11(4), pp. 62:1-62:24, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Andreas Olofsson, Tomas Nordström, Zain-ul-Abdin |
Kickstarting High-performance Energy-efficient Manycore Architectures with Epiphany. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1412.5538, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Ashkan Tousimojarad, Wim Vanderbauwhede |
Cache-aware Parallel Programming for Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1403.8006, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Francesco Lettich, Salvatore Orlando 0001, Claudio Silvestri, Christian S. Jensen |
Manycore processing of repeated range queries over massive moving objects observations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1411.3212, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein |
Comparing the Performance of Different x86 SIMD Instruction Sets for a Medical Imaging Application on Modern Multi- and Manycore Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1401.7494, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Jonathan Passerat-Palmbach, David R. C. Hill |
How to Correctly Deal With Pseudorandom Numbers in Manycore Environments - Application to GPU programming with Shoverand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1412.8266, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Francesco Lettich, Salvatore Orlando 0001, Claudio Silvestri |
Manycore processing of repeated k-NN queries over massive moving objects observations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1412.6170, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Ashkan Tousimojarad, Wim Vanderbauwhede |
An Efficient Thread Mapping Strategy for Multiprogramming on Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1403.8020, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Aaron Knoll, Ingo Wald, Paul A. Navrátil, Anne Bowen, Khairi Reda, Michael E. Papka, Kelly P. Gaither |
RBF Volume Ray Casting on Multicore and Manycore CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Graph. Forum ![In: Comput. Graph. Forum 33(3), pp. 71-80, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Spyros Lyberis, George Kalokerinos, Michalis Lygerakis, Vassilis Papaefstathiou, Iakovos Mavroidis, Manolis Katevenis, Dionisios N. Pnevmatikatos, Dimitrios S. Nikolopoulos |
FPGA prototyping of emerging manycore architectures for parallel programming research using Formic boards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 60(6), pp. 481-493, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yvain Thonnart, Mounir Zid |
Technology assessment of silicon interposers for manycore SoCs: Active, passive, or optical? ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Eighth IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014, pp. 168-169, 2014, IEEE, 978-1-4799-5347-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Marco Balboni, Marta Ortín-Obón, Alessandro Capotondi, Hervé Tatenguem Fankem, Alberto Ghiribaldi, Luca Ramini, Víctor Viñals, Andrea Marongiu, Davide Bertozzi |
Augmenting manycore programmable accelerators with photonic interconnect technology for the high-end embedded computing domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Eighth IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014, pp. 72-79, 2014, IEEE, 978-1-4799-5347-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Luca Ramini, Hervé Tatenguem Fankem, Alberto Ghiribaldi, Paolo Grani, Marta Ortín-Obón, Anja Boos, Sandro Bartolini |
Towards compelling cases for the viability of silicon-nanophotonic technology in future manycore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Eighth IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014, pp. 170-171, 2014, IEEE, 978-1-4799-5347-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Florian Kluge, Mike Gerdes 0001, Theo Ungerer |
An Operating System for Safety-Critical Applications on Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, ISORC 2014, Reno, NV, USA, June 10-12, 2014, pp. 238-245, 2014, IEEE Computer Society, 978-1-4799-4430-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Oliver Jakob Arndt, Daniel Becker 0001, Florian Giesemann, Guillermo Payá Vayá, Christopher Bartels, Holger Blume |
Performance evaluation of the Intel Xeon Phi manycore architecture using parallel video-based driver assistance algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2014, Agios Konstantinos, Samos, Greece, July 14-17, 2014, pp. 125-132, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ayoub Nouri, Marius Bozga, Anca Molnos, Axel Legay, Saddek Bensalem |
Building faithful high-level models and performance evaluation of manycore embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: Twelfth ACM/IEEE International Conference on Formal Methods and Models for Codesign, MEMOCODE 2014, Lausanne, Switzerland, October 19-21, 2014, pp. 209-218, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Florian Kluge, Mike Gerdes 0001, Theo Ungerer |
The Boot Process in Real-time Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: 22nd International Conference on Real-Time Networks and Systems, RTNS '14, Versaille, France, October 8-10, 2014, pp. 77, 2014, ACM, 978-1-4503-2727-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mahmut T. Kandemir, Wei Ding 0008, Diana R. Guttman |
Quantifying and Optimizing the Impact of Victim Cache Line Selection in Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: IEEE 22nd International Symposium on Modelling, Analysis & Simulation of Computer and Telecommunication Systems, MASCOTS 2014, Paris, France, September 9-11, 2014, pp. 385-394, 2014, IEEE Computer Society, 978-1-4799-5610-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yosi Ben-Asher, Jacob Gendel, Gadi Haber, Oren Segal, Yousef Shajrawi |
1K manycore FPGA shared memory architecture for SOC (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: The 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA '14, Monterey, CA, USA - February 26 - 28, 2014, pp. 251, 2014, ACM, 978-1-4503-2671-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun |
Thermal management of manycore systems with silicon-photonic networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Cristina Silvano, Gianluca Palermo, Sotirios Xydis, Ioannis S. Stamelakos |
Voltage island management in near threshold manycore architectures to mitigate dark silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Linhai Song, Min Feng 0001, Nishkam Ravi, Yi Yang 0018, Srimat T. Chakradhar |
COMP: Compiler Optimizations for Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 47th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2014, Cambridge, United Kingdom, December 13-17, 2014, pp. 659-671, 2014, IEEE Computer Society, 978-1-4799-6998-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Benoît Dupont de Dinechin, Yves Durand, Duco van Amstel, Alexandre Ghiti |
Guaranteed Services of the NoC of a Manycore Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NoCArc@MICRO ![In: Proceedings of the 2014 International Workshop on Network on Chip Architectures, NoCArc '14, Cambridge, United Kingdom, December 13-14, 2014, pp. 11-16, 2014, ACM, 978-1-4503-3064-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | |
IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014 ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![IEEE Computer Society, 978-1-4799-4305-0 The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
16 | Rohan Bhalla, Prathmesh Kallurkar, Nitin Gupta, Smruti R. Sarangi |
TriKon: A hypervisor aware manycore processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 21st International Conference on High Performance Computing, HiPC 2014, Goa, India, December 17-20, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-5976-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Taku Shimosawa, Balazs Gerofi, Masamichi Takagi, Gou Nakamura, Tomoki Shirasawa, Yuji Saeki, Masaaki Shimizu, Atsushi Hori, Yutaka Ishikawa |
Interface for heterogeneous kernels: A framework to enable hybrid OS designs targeting high performance computing on manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 21st International Conference on High Performance Computing, HiPC 2014, Goa, India, December 17-20, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-5976-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Pierre Schweitzer, Claude Mazel, David R. C. Hill, Cristina Carloganu |
Inputs of aspect oriented programming for the profiling of C++ parallel applications on manycore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2014, Bologna, Italy, 21-25 July, 2014, pp. 793-802, 2014, IEEE, 978-1-4799-5312-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano |
Variation-aware voltage island formation for power efficient near-threshold manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 304-310, 2014, IEEE, 978-1-4799-2816-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Anderson Boettge Pinheiro, Francisco Heron de Carvalho Junior, Neemias Gabriel Pena Batista Arruda, Tiago Carneiro 0001 |
Fusion: Abstractions for Multicore/Manycore Heterogenous Parallel Programming Using GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBLP ![In: Programming Languages - 18th Brazilian Symposium, SBLP 2014, Maceio, Brazil, October 2-3, 2014. Proceedings, pp. 109-123, 2014, Springer, 978-3-319-11862-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio |
Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops (2) ![In: Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part II, pp. 254-265, 2014, Springer, 978-3-319-14312-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Balazs Gerofi, Masamichi Takagi, Yutaka Ishikawa |
Exploiting Hidden Non-uniformity of Uniform Memory Access on Manycore CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops (2) ![In: Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part II, pp. 242-253, 2014, Springer, 978-3-319-14312-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Omid Shahmirzadi, Thomas Ropars, André Schiper |
High-Throughput Maps on Message-Passing Manycore Architectures: Partitioning versus Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2014 Parallel Processing - 20th International Conference, Porto, Portugal, August 25-29, 2014. Proceedings, pp. 536-547, 2014, Springer, 978-3-319-09872-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Andreas Olofsson, Tomas Nordström, Zain-ul-Abdin |
Kickstarting high-performance energy-efficient manycore architectures with Epiphany. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSSC ![In: 48th Asilomar Conference on Signals, Systems and Computers, ACSSC 2014, Pacific Grove, CA, USA, November 2-5, 2014, pp. 1719-1726, 2014, IEEE, 978-1-4799-8297-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Romain Brillu, Sébastien Pillement, Aymen Abdellah, Fabrice Lemonnier, Philippe Millet |
FlexTiles: a globally homogeneous but locally heterogeneous manycore architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAPIDO ![In: Proceedings of the 2014 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, RAPIDO '14, 22 January, 2014, Vienna, Austria, pp. 3:1-3:8, 2014, ACM, 978-1-4503-2471-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Xing Liu, Edmond Chow |
Large-Scale Hydrodynamic Brownian Simulations on Multicore and Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014, pp. 563-572, 2014, IEEE Computer Society, 978-1-4799-3799-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Fengguang Song, Jack J. Dongarra |
Scaling up matrix computations on shared-memory manycore systems with 1000 CPU cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: 2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014, pp. 333-342, 2014, ACM, 978-1-4503-2642-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yuki Soma, Balazs Gerofi, Yutaka Ishikawa |
Revisiting virtual memory for high performance computing on manycore architectures: a hybrid segmentation kernel approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ROSS@ICS ![In: Proceedings of the 4th International Workshop on Runtime and Operating Systems for Supercomputers, ROSS 2014, Munich, Germany, June 10, 2014, pp. 3:1-3:8, 2014, ACM, 978-1-4503-2950-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Süleyman Savas, Essayas Gebrewahid, Zain-ul-Abdin, Tomas Nordström, Mingkun Yang |
An evaluation of code generation of dataflow languages on manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014, pp. 1-9, 2014, IEEE Computer Society, 978-1-4799-3953-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Stefan Wallentowitz, Stefan Rosch, Thomas Wild, Andreas Herkersdorf, Volker Wenzel, Jörg Henkel |
Dependable task and communication migration in tiled manycore system-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceedings of the 2014 Forum on Specification and Design Languages, FDL 2014, Munich, Germany, October 14-16, 2014, pp. 1-8, 2014, IEEE, 978-2-9530504-9-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Masaki Kondo, Fumio Arakawa, Masato Edahiro |
Establishing a standard interface between multi-manycore and software tools - SHIM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COOL Chips ![In: 2014 IEEE Symposium on Low-Power and High-Speed Chips, COOL Chips XVII, Yokohama, Japan, April 14-16, 2014, pp. 1-3, 2014, IEEE Computer Society, 978-1-4799-3810-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Bryce Holton, Ke Bai 0002, Aviral Shrivastava, Harini Ramaprasad |
Construction of GCCFG for inter-procedural optimizations in Software Managed Manycore (SMM) architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES 2014, Uttar Pradesh, India, October 12-17, 2014, pp. 18:1-18:10, 2014, ACM, 978-1-4503-3050-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen |
Dark silicon aware power management for manycore systems under dynamic workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014, pp. 509-512, 2014, IEEE Computer Society, 978-1-4799-6492-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Alexandre Mercat, Jean-François Nezan, Daniel Ménard, Jinglin Zhang |
Implementation of a Stereo Matching algorithm onto a Manycore Embedded System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014, pp. 1296-1299, 2014, IEEE, 978-1-4799-3431-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yonggang Che, Lilun Zhang, Yongxian Wang, Chuanfu Xu, Wei Liu 0140, Xinghua Cheng |
Performance Optimization of a CFD Application on Intel Multicore and Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACA ![In: Advanced Computer Architecture - 10th Annual Conference, ACA 2014, Shenyang, China, August 23-24, 2014. Proceedings, pp. 83-97, 2014, Springer, 978-3-662-44490-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Márcio Castro 0001, Fabrice Dupros, Emilio Francesquini, Jean-François Méhaut, Philippe Olivier Alexandre Navaux |
Energy Efficient Seismic Wave Propagation Simulation on a Low-Power Manycore Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 26th IEEE International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2014, Paris, France, October 22-24, 2014, pp. 57-64, 2014, IEEE Computer Society, 978-1-4799-6904-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mukesh Agrawal 0001, Krishnendu Chakrabarty |
Test-time optimization in NOC-based manycore SOCs using multicast routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 32nd IEEE VLSI Test Symposium, VTS 2014, Napa, CA, USA, April 13-17, 2014, pp. 1-6, 2014, IEEE Computer Society, 978-1-4799-2611-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Arash Rezaei, Giuseppe Coviello, Cheng-Hong Li, Srimat T. Chakradhar, Frank Mueller 0001 |
Snapify: capturing snapshots of offload applications on xeon phi manycore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: The 23rd International Symposium on High-Performance Parallel and Distributed Computing, HPDC'14, Vancouver, BC, Canada - June 23 - 27, 2014, pp. 1-12, 2014, ACM, 978-1-4503-2749-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Hartwig Anzt, Dimitar Lukarski, Stanimire Tomov, Jack J. Dongarra |
Self-adaptive Multiprecision Preconditioners on Multicore and Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VECPAR ![In: High Performance Computing for Computational Science - VECPAR 2014 - 11th International Conference, Eugene, OR, USA, June 30 - July 3, 2014, Revised Selected Papers, pp. 115-123, 2014, Springer, 978-3-319-17352-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Johannes Hofmann 0001, Jan Treibig, Georg Hager, Gerhard Wellein |
Comparing the performance of different x86 SIMD instruction sets for a medical imaging application on modern multi- and manycore chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WPMVP@PPoPP ![In: Proceedings of the 2014 Workshop on Programming models for SIMD/Vector processing, WPMVP 2014, Orlando, Florida, USA, February 16, 2014, pp. 57-64, 2014, ACM, 978-1-4503-2653-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Markus Stürmer |
Performance Engineering of Numerical Software on Multi- and Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
16 | Nathalie Rauschmayr |
Optimisation of LHCb Applications for Multi- and Manycore Job Submission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
16 | Vassilis Papaefstathiou |
Architectural support for software-guided energy reduction of manycore communication ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
16 | Ke Bai 0002 |
Compiler and Runtime for Memory Management on Software Managed Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
16 | Safae Dahmani, Loïc Cudennec, Stéphane Louise, Guy Gogniat |
Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 303-310, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Masato Yoshimi, Ryu Kudo, Yasin Oge, Yuta Terada, Hidetsugu Irie, Tsutomu Yoshinaga |
An FPGA-Based Tightly Coupled Accelerator for Data-Intensive Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 289-296, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Tadayoshi Horita, Itsuo Takanami, Masakazu Akiba, Mina Terauchi, Tsuneo Kanno |
A GPGPU-Based Acceleration of Fault-Tolerant MLP Learnings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 245-252, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Nicolas Hili, Christian Fabre, Ivan Llopard, Sophie Dupuy-Chessa, Dominique Rieu |
Model-Based Platform Composition for Embedded System Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 157-164, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Thiem Van Chu, Shimpei Sato, Kenji Kise |
KNoCEmu: High Speed FPGA Emulator for Kilo-node Scale NoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 215-222, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ahmed Aldammas, Adel Soudani, Abdullah Al-Dhelaan |
A Buffered Flow Control Scheme with Flit Weight-Based Dropping Mechanism for Efficient Communication in NoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 229-236, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Keiko Igarashi, Saki Seino, Rentaro Yoshioka |
Symbols and Rules for a Self-Explanatory Machine Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 49-54, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Junxiu Liu, Jim Harkin, Yuhua Li 0001, Liam P. Maguire, Alejandro Linares-Barranco |
Low Overhead Monitor Mechanism for Fault-Tolerant Analysis of NoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 189-196, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Artur Podobas |
Accelerating Parallel Computations with OpenMP-Driven System-on-Chip Generation for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 149-156, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Qiangqiang Shi, Yiyang Yang, Xiaolin Li |
Application of GPU to Three Computational Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 261-266, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Satoshi Ohshima, Takahiro Katagiri, Masaharu Matsumoto |
Performance Optimization of SpMV Using CRS Format by Considering OpenMP Scheduling on CPUs and MIC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 253-260, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Dingding Li, Yong Tang 0001, Bing Liu, Zhendong Yang, Gansen Zhao, Jianguo Li |
A Network-Friendly Disk I/O Optimization Framework in a Virtualized Cloud System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 9-14, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Bin Cao 0002, Li Qiao, Yun Li 0001 |
Stackelberg Game Theoretic Approach for Probabilistic Network Coding in Retransmission Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 15-20, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Huakang Li, Guozi Sun, Bei Xu, Li Li 0012, Jie Huang 0012, Keita Tanno, Wenxu Wu, Changen Xu |
An Information Classification Approach Based on Knowledge Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 3-8, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Arreytambe Tabot, Mohamed Hamada 0001 |
Mobile Learning with Google App Engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 63-67, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Lie Jin, Hongtao Wang, Haitao Wang 0005, Xinxin Wu |
Numerical Simulation of 3-D Elastic Moduli with Elliptical Cracks Using FM-DBEM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 39-45, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Mohamed Hamada 0001, Aree Muhammed, Kadir Tufan |
Smart Cloud-based Implementation of a Learning Style Index. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 68-74, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Hitoshi Ueno |
A Performance Evaluation of Multi-programming Model on a Multicore System with Virtual Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 321-328, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Takahiro Katagiri, Satoshi Ohshima, Masaharu Matsumoto |
Auto-tuning of Computation Kernels from an FDM Code with ppOpen-AT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 91-98, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Satoru Miyasono, Yosuke Moriai, Hiroshi Saito |
A Code Partitioning Tool for Simulink Models to Implement on FPGA-Based Network-on-Chip Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 141-148, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yu Fujita, Kimiyoshi Usami, Hideharu Amano |
A Thermal Management System for Building Block Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 165-171, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Sourav Dutta, Sheheeda Manakkadu, Dimitri Kagaris |
Classifying Performance Bottlenecks in Multi-threaded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 341-345, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Takahiro Honda, Yukihide Kohira |
An Acceleration for Any-Angle Routing Using Quasi-Newton Method on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 281-288, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Weiwei Fu, Mingmin Yuan, Tianzhou Chen, Li Liu 0006, Minghui Wu 0001 |
SAMNoC: A Novel Optical Network-on-Chip for Energy-Efficient Memory Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 181-188, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Feiyao Wang, Wenyan Wang |
Performance Validation of the Multicore SoC for Spacecraft Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 329-332, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ali A. El-Moursy |
Adaptive V-Set Cache for Multi-core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 297-302, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ruth Cortez, Alexander Vazhenin, John Brine |
Automatic Glossing Services for E-learning Cloud Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 128-131, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Deze Zeng, Chao Teng, Hong Yao, Qingzhong Liang, Chengyu Hu, Xuesong Yan |
Stochastic Analysis of Epidemic Routing Based Anycast in Throwbox-Equipped DTNs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 77-81, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Stéphane Louise, Paul Dubrulle, Thierry Goubier |
A Model of Computation for Real-Time Applications on Embedded Manycores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 333-340, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Amr Saleh Elhelw, Ali El-Moursy, Hossam A. H. Fahmy |
Time-Based Least Memory Intensive Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 311-318, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Paul Neve, Gordon Hunter, David Livingstone |
NoobLab: An E-learning Platform for Teaching Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 55-62, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Juan Pedro Cobos Carrascosa, Beatriz Aparicio del Moral, Jose Luis Ramos Mas, Antonio C. López Jiménez, J. C. del Toro Iniesta |
A Multicore Architecture for High-Performance Scientific Computing Using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 223-228, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Huan Ke, Song Guo 0001, Toshiaki Miyazaki |
Towards Latency-Aware Data Acquisition in Wireless Sensor Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 82-87, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Hui Wang, Ping Lv |
Evaluation of Memory Optimization in a Large-Scale Structural Finite Element Pre-processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 31-38, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Shota Furuya, Katsuki Yanai, Rentaro Yoshioka |
An Analysis Tool for a Programming Contest for High-School Students. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 132-137, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Hidehito Sawai, Rentaro Yoshioka |
A Format for Work Specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 123-127, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Aleksandar Simevski, Rolf Kraemer, Milos Krstic |
Investigating Core-Level N-Modular Redundancy in Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 175-180, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Martin Ebner, Christoph Prettenthaler, Mohamed Hamada 0001 |
Cloud-Based Service for eBooks Using EPUB under the Aspect of Learning Analytics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 116-122, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Hamed Khandan |
Introducing A-Cell for Scalable and Portable SIMD Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 275-280, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ta Kang Yen, Bo Yao Yu, Bo-Cheng Charles Lai |
A Cache Aware Multithreading Decision Scheme on GPGPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 267-272, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Ping Lv, Han Wang, Hui Wang |
Phase Distribution Parameter Prediction Using Logistic Model in the Analysis of Two-Phase Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 23-30, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Piyu Lee |
Are Mobile Devices More Useful than Conventional Means as Tools for Learning Vocabulary? ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 109-115, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Xiong Xiao, Shoichi Hirasawa, Hiroyuki Takizawa, Hiroaki Kobayashi |
An Approach to Customization of Compiler Directives for Application-Specific Code Transformations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 99-106, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Yuichi Okuyama, Shigeyuki Takano, Tokimasa Shirai |
Design of a Coarse-Grained Processing Element for Matrix Multiplication on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 237-241, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Akram Ben Ahmed, Michael Conrad Meyer, Yuichi Okuyama 0001, Abderazek Ben Abdallah |
Adaptive Error- and Traffic-Aware Router Architecture for 3D Network-on-Chip Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 197-204, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Oliver Mattes, Wolfgang Karl |
Self-aware Memory: an adaptive memory management system for upcoming manycore architectures and its decentralized self-optimization process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Des. Autom. Embed. Syst. ![In: Des. Autom. Embed. Syst. 17(3-4), pp. 739-769, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 835 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ >>] |
|