The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprogramming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1971 (17) 1972 (25) 1973 (39) 1974 (63) 1975 (25) 1976 (17) 1977 (24) 1978 (35) 1979 (18) 1980 (31) 1981 (32) 1982 (34) 1983-1984 (41) 1985 (22) 1986 (27) 1987 (110) 1988 (262) 1989 (229) 1990 (213) 1991 (181) 1992 (178) 1993 (241) 1994 (105) 1995 (34) 1996 (18) 1997-2020 (15)
Publication types (Num. hits)
article(1516) incollection(2) inproceedings(495) phdthesis(3) proceedings(20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 188 occurrences of 131 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Louise H. Jones Microprogramming: an opportunity for SIGACT. Search on Bibsonomy SIGACT News The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Donald R. Oestreicher A microprogramming language for the MLP-900. Search on Bibsonomy SIGPLAN/SIGMICRO Interface The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Earl W. Reigel, Harold W. Lawson At the Programming Language - Microprogramming interface. Search on Bibsonomy SIGPLAN/SIGMICRO Interface The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Harold W. Lawson Jr., Lars Blomberg The Datasaab FCPU microprogramming language. Search on Bibsonomy SIGPLAN/SIGMICRO Interface The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Tomlinson Gene Rauscher, Ashok K. Agrawala On the syntax and semantics of horizontal microprogramming languages. Search on Bibsonomy ACM Annual Conference The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Richard E. Merwin, Louise H. Jones, Allen B. Tucker (eds.) Conference record of the 6th annual workshop on Microprogramming, MICRO 1973, College Park, Maryland, USA, September 24-25, 1973 Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  BibTeX  RDF
20Stanley Habib Name resolutions using a microprogrammed interpretive technique. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20C. V. Ramamoorthy, M. Tabandeh, Masahiro Tsuchiya A higher level language for micro-programming. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20André Bernardy Microprogrammed multiprocessor graphic controller. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Jean Suchard Experiences in asynchrony. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20E. T. Barron, Robert M. Glorioso A micro controlled peripheral processor. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Paul D. Stigall Memory utilization for a dynamically microprogrammed computer. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Han Park FORTRAN enhancement. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Joseph R. Fisher The SPS-41 and SPS-81 programmable digital signal processors. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20M. J. Kimmel PRIME - a processor design for character recognition. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Abd-Elfattah Mohamed Abd-alla, David Carl Karlgaard The heuristic synthesis of applications-oriented microcode. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20John C. Murtha Architecture trade-offs in programmable signal processing. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Michael Andrews Microdiagnostics for minicomputers. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20François Dromard, Gérard L. M. Noguez Asynchronous network of specific microprocessors. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Danièle Dromard, O. Gibergues A microprogrammed data communications procedure controller. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Guy G. Boulaye, Louise H. Jones Controlled graphs and instructions. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Reiner W. Hartenstein, Klaus D. Mueller A microprogrammable display processor concept. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Morrie Gasser An interactive debugger for software and firmware. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20David D. Lynch, Lee W. Tower Pipelined microprogrammed control of a real-time signal processor. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20John F. McDonald 0001, Ronald G. Harris, James E. Sustman Three ECL designs for microprogrammable Writable Control Stores. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Paul Constantine Anagnostopoulos Organizing computer systems for learnability and useability. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20C. V. Ramamoorthy, K. S. Shankar Correctness and equivalence of straight line microprograms. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Lee W. Hoevel Micro emulation: When to do it and when not to do it. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20Richard T. Thomas Main memory for user microprogram residence - an analysis. Search on Bibsonomy MICRO The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
20R. F. Vaughan, R. A. Collins On computer architecture, software portability & microprogramming. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Gottfried W. R. Luderer On Memory Usage Under Microprogramming. Search on Bibsonomy Computer The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Robert F. Rosin, Gideon Frieder, Richard H. Eckhouse Jr. An Environment for Research in Microprogramming and Emulation. Search on Bibsonomy Commun. ACM The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Peter M. Davies Readings in Microprogramming. Search on Bibsonomy IBM Syst. J. The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Ted G. Lewis Microprogramming for probability distribution sampling. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Robert K. Clark Mirager, the "best-yet" approach for horizontal microprogramming. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Richard E. Merwin Background reading in microprogramming and emulation. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Richard T. Borovec, C. William Gear, Edward K. Bowdon Sr. (eds.) Conference record of the 5th annual workshop on Microprogramming, MICRO 1972, Urbana-Champaign, Illinois, USA, September 25-26, 1972 Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  BibTeX  RDF
20J. Michael Galey "Microprogramming - uses and tradeoffs". Search on Bibsonomy DAC The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Robert F. Rosin, Gideon Frieder The role of microprogramming in the computer science curriculum. Search on Bibsonomy DAC The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Richard E. Merwin Microprogramming as a pedagogical tool in teaching computer science. Search on Bibsonomy DAC The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20David Hinshaw, Keki B. Irani Optimal selection of functional components for microprogrammable central processing units. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Gérard L. M. Noguez A standardized microprogram sequencing control with a push down storage. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Gideon Frieder, Clement Luk Ternary computers: part 2: emulation of a ternary computer. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Bradford W. Wade, Victor B. Schneider The L-machine: a computer instruction set for the efficient execution of high-level language programs. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Gideon Frieder Ternary computers: part I: motivation for ternary computers. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Michael J. Lutz, Michael J. Manthey A microprogrammed implementation of a block structured architecture. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Helmut Painke Initialization of microprogrammed machines. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20D. F. Goessling, John F. McDonald 0001 ISPMET: a study in automatic emulator generation. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Maurice V. Wilkes The use of a writable control memory in a multiprogramming environment. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Harry J. Saal, Leonard J. Shustek Microprogrammed implementation of computer measurement techniques. Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20M. Belsole, D. Sarchet A technique for micro-simulation the software "machine". Search on Bibsonomy MICRO The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
20Peter L. Gardner Functional Memory and Its Microprogramming Implications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Peter T. Rux B71-3 Microprogramming: Principles and Practices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Bruce D. Shriver Microprogramming and Numerical Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Michael J. Flynn, Robert F. Rosin Microprogramming: An Introduction and a Viewpoint. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Allen B. Tucker, Michael J. Flynn Dynamic Microprogramming: Processor Organization and Programming. Search on Bibsonomy Commun. ACM The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20S. S. Husson Microprogramming (Tutorial). Search on Bibsonomy ACM Annual Conference The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Richard H. Eckhouse Jr. A high-level microprogramming language (MPL). Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Helmut Berndt Functional Microprogramming as a Logic Design Aid. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
20Robert F. Rosin Erratum: "Contemporary Concepts of Microprogramming and Emulation". Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
20Robert F. Rosin Contemporary Concepts of Microprogramming and Emulation. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
20Maurice V. Wilkes The Growth of Interest in Microprogramming: A Literature Survey. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
20John L. Smith Microprogramming under a page on demand strategy. Search on Bibsonomy Commun. ACM The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
20Michael J. Flynn, M. Donald McLaren Microprogramming revisited. Search on Bibsonomy ACM National Conference The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
20Julien Green Microprogramming, emulators and programming languages. Search on Bibsonomy Commun. ACM The full citation details ... 1966 DBLP  DOI  BibTeX  RDF
20E. D. Conroy Microprogramming. Search on Bibsonomy ACM National Meeting The full citation details ... 1961 DBLP  DOI  BibTeX  RDF
20Maurice V. Wilkes Microprogramming. Search on Bibsonomy AIEE-ACM-IRE Computer Conference (Eastern) The full citation details ... 1958 DBLP  DOI  BibTeX  RDF
20Herbert T. Glantz A Note on Microprogramming. Search on Bibsonomy J. ACM The full citation details ... 1956 DBLP  DOI  BibTeX  RDF
20Paolo Bonzini, Giovanni Ansaloni, Laura Pozzi Compiling custom instructions onto expression-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF horizontal microprogramming, compilers, instruction set extensions, coarse-grained reconfigurable architectures, data-flow architectures
20Antonio González 0001, Scott A. Mahlke, Shubu Mukherjee, Resit Sendag, Derek Chiou, Joshua J. Yi Reliability: Fallacy or Reality? Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control structure reliability, control structures and microprogramming, and fault-tolerance, arithmetic and logic structures, reliability, testing, hardware, testing and fault-tolerance, memory structures, performance and reliability
20Harold W. Lawson The Datasaab Flexible Central Processing Unit. Search on Bibsonomy History of Nordic Computing The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware-software tradeoffs, Computer architecture, microprogramming, computer history
20Hans M. Jacobson, Ganesh Gopalakrishnan Asynchronous Microengines for Efficient High-level Control. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous circuits, microprogramming, self-timing
20Chuan-Yu Wang, Kaushik Roy 0001 Control unit synthesis targeting low-power processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF control unit synthesis, low-power processors, low-power decoding scheme, microprogrammed architecture, nanoprogrammed architecture, 8086 instruction set, instruction opcodes, computer architecture, logic design, encoding, decoding, microprocessor chips, graph embedding, instruction sets, microprogramming, CMOS circuits, logic minimization, system reliability, switching activity, minimisation of switching nets, pseudo-Boolean programming
20Robert A. Mueller, Michael R. Duda, Philip H. Sweany, Jack S. Walicki Horizon: A Retargetable Compiler for Horizontal Microarchitectures. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF horizontal microarchitectures, vertical migration, complex application code, horizontal microcode, optimized microcode, concurrency, abstraction, timing, program compilers, microprogramming, retargetable compiler, assembly languages, Horizon
20Alexander Aiken, Alexandru Nicolau A Development Environment for Horizontal Microcode. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF horizontal microcode, percolation scheduling, interactive profiling system, microcode compaction process, correctness preservation, semantics-preserving transformations, scheduling, user interfaces, architecture, parallel programming, synchronization, programming environments, synchronisation, graphical interface, development environment, microprogramming, parallelism extraction
20Vicki H. Allan, Robert A. Mueller Compaction with General Timing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF general synchronous timing, microcode generation systems, branch delays, volatile registers, microoperations, multiple microinstructions, clocked microarchitectures, compilers, synchronisation, program compilers, microprogramming, target architecture, data-dependency graphs
20Robert I. Winner Naming and Binding in a vertical Migration Environment. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF vertical migration environment, maximum performance, linkage editing process, micro-objects, data structures, compilers, abstractions, program compilers, object-oriented model, binding, naming, microprogramming, microcode
20Curtis Abbott A Symbolic Simulator for Microprogram Development. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Lisp applications, microprogram development, symbolic debugging, symbolic execution, microprogramming, processor simulation
20Chamarty D. V. P. Rao, Nripendra N. Biswas On the Minimization of Wordwidth in the Control Memory of a Microprogrammed Digital Computer. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Control memory wordwidth, microinstruction organization, reducing, microprogramming
20Marco Mezzalama, Paolo Prinetto A Hierarchical Description Model for Microcode. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF hierarchical definition, horizontal microprogramming, microcode compaction, parallelism, Emulation, semantic model
20Takanobu Baba, Ken Ishikawa, Kenzo Okuda A Two-Level Microprogrammed Multiprocessor Computer with Nonnumeric Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF two-level microprogramming, nonnumeric processing, parallel processing, multiprocessor, emulation, Database system, symbol manipulation, firmware
20Bulent I. Dervisoglu, Donald J. Criscione A Hard Progammable Control Unit Design Using VLSI Technology. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF precedence and reset equations, Control flow expression, control graphs, mask-programming, microprogrammable control unit, parallel control flows, microprogramming, control operator
20Takanobu Baba, Hiroshi Hagiwara The MPG System: A Machine-Independent Efficient Microprogram Generator. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF high-level microprogramming language, machine independence, microinstruction composition, sequencing and addressing, simulation, optimization, Compilation
20Glenford J. Myers, David G. Hocker The Use of Software Simulators in the Testing and Debugging of Microprogram Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF testing, Debugging, microprogramming, processor simulation
20Andries van Dam, Mario Barbacci, Constantine Halatsis, J. Joosten, M. Letheren Simulation of a Horizontal Bit-Sliced Processor Using the ISPS Architecture Simulation Facility. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF hardware description languages, microprogramming, simulation and modeling, Bit slices
20Hiroshi Hagiwara, Shinji Tomita, Shigeru Oyanagi, Kiyoshi Shibayama A Dynamically Microprogammable Computer with Low-Level Parallelism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF virtual control storage, parallel processing, Computer animation, emulation, real-time applications, microprogramming, firmware
20Earl E. Swartzlander Jr. Microprogrammed Control for Specialized Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF subroutine implementation, Control unit, Moore machine, next state selection, signal processor controller, special purpose computer control concepts, microprogramming
20Constantine Halatsis, Nikolaos Gaitanis On the Minimization of the Control Store in Microprogrammed Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF AND/OR dependence sets, control store, minimal dependence sets, minimization, microprogramming
20Mario R. Schaffner Processing by Data and Program Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF structural programming language, data-driven processing, paging systems, realtime signal processing, multiprocessors, Computer architecture, multiprogramming, microprogramming, implementation models, radar signal processing
20Geoff Hannington, Donald G. Whitehead A Floating-Point Multiplexed DDA System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Algorithmic microprogramming, DDA, integrating computer, special purpose computer, virtual processor
20Totadri Jayasri, Dhruba Basu An Approach to Organizing Microinstructions which Minimizes the Width of Control Store Words. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF maximal compatibility class, microinstruction organization, minimizing control store width, Linear programming, microprogramming
20Howard A. Sholl, Shou-Chung Yang Design of Asynchronous Sequential Networks Using READ-ONLY Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Address assignment, single transition time assignment, microprogramming, state assignment, asynchronous networks, READ-ONLY memory
17Ehsan Vossough, Janusz R. Getta Micro Implementation of Join Operation at Clustering Nodes of Heterogenous Sensor Networks. Search on Bibsonomy UNISCON The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clustering data streams, optimization, Sensor network, data stream, join operation, incremental processing
17Eli Kolberg, Yoram Reich, Ilya Levin Design of Design Methodology for Autonomous Robots. Search on Bibsonomy RoboCup The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Brian G. VanBuren, Muhammad Shaaban MicroTiger: a graphical microcode simulator with a reconfigurable datapath. Search on Bibsonomy SIGCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulator, visualization, architecture, microcode
17Duane Dunkerson Review of "Creativity in Invention and Design: Computational and Cognitive Explorations of Technological Originality by Subrata Dasgupta", Cambridge University Press, 1994. Search on Bibsonomy SIGART Bull. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Robert A. Mueller, Joseph Varghese Retargetable Microcode Synthesis. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Helmut K. Berg, Prakash Rao, Bruce D. Shriver Firmware quality assurance. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
17M. Annaratone, M. G. Sami Software testing techniques for universal building blocks of multimicrosystems. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
17Michael Shapiro Speak software and carry a strip chip. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license