|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1848 occurrences of 823 keywords
|
|
|
Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Don Jones Jr., Simon Marlow, Satnam Singh |
Parallel performance tuning for Haskell. |
Haskell |
2009 |
DBLP DOI BibTeX RDF |
parallel profiling, functional programming |
17 | Gregorio Quintana-Ortí, Francisco D. Igual, Enrique S. Quintana-Ortí, Robert A. van de Geijn |
Solving dense linear systems on platforms with multiple hardware accelerators. |
PPoPP |
2009 |
DBLP DOI BibTeX RDF |
algorithms-by-blocks, depencency analysis, dynamic scheduling, out-of-order execution, gpus |
17 | Vijay Nagarajan, Rajiv Gupta 0001 |
ECMon: exposing cache events for monitoring. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
cache events, recording for replay, speculation past barriers |
17 | Jian Chen 0030, Lizy Kurian John |
Efficient program scheduling for heterogeneous multi-core processors. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
program scheduling, heterogeneous multi-core, energy-delay product |
17 | Seng Lin Shee, Andrea Erdos, Sri Parameswaran |
Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. |
Int. J. Parallel Program. |
2008 |
DBLP DOI BibTeX RDF |
design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system |
17 | Ralph K. Cavin III, James A. Hutchby, Victor V. Zhirnov, Joe E. Brewer, George Bourianoff |
Emerging Research Architectures. |
Computer |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Ram Rangan, Neil Vachharajani, Guilherme Ottoni, David I. August |
Performance scalability of decoupled software pipelining. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
Decoupled software pipelining, performance analysis |
17 | David Yeh, Li-Shiuan Peh, Shekhar Borkar, John A. Darringer, Anant Agarwal, Wen-mei W. Hwu |
Thousand-Core Chips [Roundtable]. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Jaejin Lee, Sangmin Seo, Chihun Kim, Junghyun Kim, Posung Chun, Zehra Sura, Jungwon Kim, Sangyong Han |
COMIC: a coherent shared memory interface for cell be. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
heterogeneous multicores, software shared virtual memory, OpenMP, software distributed shared memory, Cell BE |
17 | Junfeng Fan, Lejla Batina, Kazuo Sakiyama, Ingrid Verbauwhede |
FPGA Design for Algebraic Tori-Based Public-Key Cryptography. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Abhishek Tiwari 0002, Josep Torrellas |
Facelift: Hiding and slowing down aging in multicores. |
MICRO |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Laxmikant V. Kalé |
The Excitement in Parallel Computing. |
HiPC |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Shoaib Akram 0001, Scott Cromar, Gregory Lucas, Alexandros Papakonstantinou, Deming Chen |
VEBoC: Variation and error-aware design for billions of devices on a chip. |
ASP-DAC |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Asim Munawar, Mohamed Wahib, Masaharu Munetomo, Kiyoshi Akama |
A Survey: Genetic Algorithms and the Fast Evolving World of Parallel Computing. |
HPCC |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Maurice Herlihy, Nir Shavit, Moran Tzafrir |
Hopscotch Hashing. |
DISC |
2008 |
DBLP DOI BibTeX RDF |
|
17 | David A. Bader, Kamesh Madduri |
SNAP, Small-world Network Analysis and Partitioning: An open-source parallel graph framework for the exploration of large-scale networks. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Brian A. Garber, Daniel Hoeflinger, Xiaoming Li 0004, María Jesús Garzarán, David A. Padua |
Automatic generation of a parallel sorting algorithm. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Sadaf R. Alam, Pratul K. Agarwal, Scott S. Hampton, Hong Ong, Jeffrey S. Vetter |
Impact of multicores on large-scale molecular dynamics simulations. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Matthew Fluet, Mike Rainey, John H. Reppy, Adam Shaw |
Implicitly-threaded parallelism in Manticore. |
ICFP |
2008 |
DBLP DOI BibTeX RDF |
implictly-threaded parallelism, parallel binding, parallel case, data parallelism, exceptions |
17 | Honggang Wang, Jide Zhao, Hongguang Li, Jianguo Wang |
Parallel Clustering Algorithms for Image Processing on Multi-core CPUs. |
CSSE (3) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Rudolf Marek, Miroslav Skrbek |
Efficient Implementation of the THSOM Neural Network. |
ICANN (2) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Elisabeth Pelz, Dietmar Tutsch |
Formal Models for Multicast Traffic in Network on Chip Architectures with Compositional High-Level Petri Nets. |
ICATPN |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Benfano Soewito, Ning Weng |
Methodology for Evaluating DNA Pattern Searching Algorithms on Multiprocessor. |
BIBE |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Philip Machanick |
Design principles for a virtual multiprocessor. |
SAICSIT |
2007 |
DBLP DOI BibTeX RDF |
chip multiprocessor, instruction-level parallelism |
17 | Chunhua Liao, Barbara M. Chapman |
Invited Paper: A Compile-time Cost Model for OpenMP. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Michele Cirinei, Enrico Bini, Giuseppe Lipari, Alberto Ferrari |
A Flexible Scheme for Scheduling Fault-Tolerant Real-Time Tasks on Multiprocessors. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Karl A. Nyberg |
Multi-core + multi-tasking = multi-opportunity? |
SIGAda |
2007 |
DBLP DOI BibTeX RDF |
multi-core, tasking, parallel execution |
17 | Matthew Curtis-Maury, Karan Singh, Sally A. McKee, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 |
Identifying energy-efficient concurrency levels using machine learning. |
CLUSTER |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Michael Kistler, Michael Perrone, Fabrizio Petrini |
Cell Multiprocessor Communication Network: Built for Speed. |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
Cell Broadband Engine processor, multiprocessor communication network |
17 | Pradip Bose |
Looking briefly back, and then forward... |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
computer architecture, hardware |
17 | Ethan Schuchman, T. N. Vijaykumar |
A program transformation and architecture support for quantum uncomputation. |
ASPLOS |
2006 |
DBLP DOI BibTeX RDF |
QLA, uncomputation, quantum computing |
17 | Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh |
A Code Generation Algorithm for Affine Partitioning Framework. |
ICPADS (2) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Daniel R. Johnson, Matthew R. Johnson 0003, John H. Kelm, William Tuohy, Steven S. Lumetta, Sanjay J. Patel |
Rigel: A 1, 024-Core Single-Chip Accelerator Architecture. |
IEEE Micro |
2011 |
DBLP DOI BibTeX RDF |
Multiple data-stream architectures (multiprocessors), multiple data processors, single-chip multiprocessors, parallel architectures, multicore, parallel processors, multiple instruction |
13 | Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki |
Toward Dark Silicon in Servers. |
IEEE Micro |
2011 |
DBLP DOI BibTeX RDF |
Dark silicon, specialized computing, scalability, power, energy, multicore |
13 | Junli Gu, Yihe Sun, Steven S. Lumetta, Rakesh Kumar 0002 |
MOPED: Accelerating Data Communication on Future CMPs. |
IEEE Micro |
2011 |
DBLP DOI BibTeX RDF |
data communication, Multicore architecture, cache hierarchy |
13 | Siegfried Benkner, Sabri Pllana, Jesper Larsson Träff, Philippas Tsigas, Uwe Dolinsky, Cédric Augonnet, Beverly Bachmayer, Christoph W. Kessler, David Moloney, Vitaly Osipov |
PEPPHER: Efficient and Productive Usage of Hybrid Computing Systems. |
IEEE Micro |
2011 |
DBLP DOI BibTeX RDF |
PEPPHER, GPU, multicore, CPU, hybrid computing |
13 | Yang Song 0007, Ali Akoglu |
Parallel Implementation of the Irregular Terrain Model (ITM) for Radio Transmission Loss Prediction Using GPU and Cell BE Processors. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
IBM cell broadband engine, Longley-Rice model, NVIDIA GPU, parallel computing, multicore |
13 | Sheng Li 0007, Shannon K. Kuntz, Jay B. Brockman, Peter M. Kogge |
Lightweight Chip Multi-Threading (LCMT): Maximizing Fine-Grained Parallelism On-Chip. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
unlimited multithreading, multicore processors, Multithreaded processors, irregular applications |
13 | Rick Weber, Akila Gothandaraman, Robert J. Hinde, Gregory D. Peterson |
Comparing Hardware Accelerators in Scientific Applications: A Case Study. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
FPGA, GPU, multicore, computational science, CUDA, Accelerator, OpenCL |
13 | Abhinav Sarje, Jaroslaw Zola, Srinivas Aluru |
Accelerating Pairwise Computations on Cell Processors. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
computations on matrices, pairwise computations, multicore/single-chip multiprocessors, Parallel algorithms, cell broadband engine, heterogeneous (hybrid) systems |
13 | Wenbin Fang, Bingsheng He, Qiong Luo 0001, Naga K. Govindaraju |
Mars: Accelerating MapReduce with Graphics Processors. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
parallel computing, MapReduce, multicore processor, graphics processor, many-core architecture |
13 | Carson Brownlee, Vincent Pegoraro, Siddharth Shankar, Patrick S. McCormick, Charles D. Hansen |
Physically-Based Interactive Flow Visualization Based on Schlieren and Interferometry Experimental Techniques. |
IEEE Trans. Vis. Comput. Graph. |
2011 |
DBLP DOI BibTeX RDF |
Scalar field data, GPUs and multicore architectures, flow visualization |
13 | Diomidis Spinellis |
Faking It. |
IEEE Softw. |
2011 |
DBLP DOI BibTeX RDF |
parallelism, concurrency, multicore |
13 | Hun Jung, Miao Ju, Hao Che |
A Theoretical Framework for Design Space Exploration of Manycore Processors. |
MASCOTS |
2011 |
DBLP DOI BibTeX RDF |
CMP, multicore, design space exploration, queuing network, manycore |
13 | Zhaobo Zhang, Xrysovalantis Kavousianos, Yan Luo, Yiorgos Tsiatouhas, Krishnendu Chakrabarty |
Signature Analysis for Testing, Diagnosis, and Repair of Multi-mode Power Switches. |
ETS |
2011 |
DBLP DOI BibTeX RDF |
Multi-Mode Power Switches, DFT for Multicore Chips, Static Power Management, Testing, Voltage-Control Oscillator |
13 | Yi-Ta Wu, Yih-Tyng Wu, Chao-Yi Cho, Shau-Yin Tseng, Chun-Nan Liu, Chung-Ta King |
Parallel Integral Image Generation Algorithm on Multi-core System. |
ISPA |
2011 |
DBLP DOI BibTeX RDF |
parallel algorithm, image processing, multicore system, integral image |
13 | Carla Osthoff, Pablo Javier Grunmann, Francieli Zanon Boito, Rodrigo Kassick, Laércio Lima Pilla, Philippe Olivier Alexandre Navaux, Claudio Schepke, Jairo Panetta, Nicolas Maillard, Pedro Leite da Silva Dias, Robert L. Walko |
Improving Performance on Atmospheric Models through a Hybrid OpenMP/MPI Implementation. |
ISPA |
2011 |
DBLP DOI BibTeX RDF |
OLAM, I/O Performance Evaluation, Distributed System Application, High Performance Computing, Multicore, Atmospheric Models |
13 | David Eklov, Nikos Nikoleris, David Black-Schaffer, Erik Hagersten |
Cache Pirating: Measuring the Curse of the Shared Cache. |
ICPP |
2011 |
DBLP DOI BibTeX RDF |
multicore performance, performance analysis, memory system, memory bandwidth, cache performance |
13 | Anthony M. Castaldo, R. Clint Whaley |
Achieving Scalable Parallelization for the Hessenberg Factorization. |
CLUSTER |
2011 |
DBLP DOI BibTeX RDF |
Hessenberg, parallel, multicore, multi-core, factorization, LAPACK, ATLAS |
13 | Krste Asanovic, Ralph Wittig |
Guest Editors' Introduction: Hot Chips 21. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
parallel computing, multicore, microprocessors, hardware, accelerators, MEMS |
13 | Takumi Maruyama, Toshio Yoshida, Ryuji Kan, Iwao Yamazaki, Shuji Yamamura, Noriyuki Takahashi, Mikio Hondou, Hiroshi Okano |
Sparc64 VIIIfx: A New-Generation Octocore Processor for Petascale Computing. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
return address stack, low power, system on chip, multicore, hardware, high performance, processor |
13 | Stijn Eyerman, Lieven Eeckhout |
Per-Thread Cycle Accounting. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
per-thread cycle accounting, multicore, system software, multithreaded architectures |
13 | Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki |
Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement |
13 | Mark Woh, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner |
AnySP: Anytime Anywhere Anyway Signal Processing. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
AnySP, DSP, multicore, SIMD, H.264, embedded processor, software-defined radio, 4G |
13 | Bryan Catanzaro, Armando Fox, Kurt Keutzer, David A. Patterson 0001, Bor-Yiing Su, Marc Snir, Kunle Olukotun, Pat Hanrahan, Hassan Chafi |
Ubiquitous Parallel Computing from Berkeley, Illinois, and Stanford. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
parallel computing, parallel architectures, shared-memory, multicore, hardware, parallel applications, parallel programming environments |
13 | Davide Pasetto, Fabrizio Petrini, Virat Agarwal |
Tools for Very Fast Regular Expression Matching. |
Computer |
2010 |
DBLP DOI BibTeX RDF |
DotStar, Expression matching, Regular expressions, Multicore processors |
13 | Roger D. Chamberlain, Mark A. Franklin, Eric J. Tyson, James H. Buckley, Jeremy Buhler, Greg Galloway, Saurabh Gayen, Michael J. Hall, E. F. Berkley Shands, Naveen Singla |
Auto-Pipe: Streaming Applications on Architecturally Diverse Systems. |
Computer |
2010 |
DBLP DOI BibTeX RDF |
Auto-Pipe, Software engineering, Processor architectures, Multicore processors, Computer systems organization |
13 | Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus |
The Synchronization Power of Coalesced Memory Accesses. |
IEEE Trans. Parallel Distributed Syst. |
2010 |
DBLP DOI BibTeX RDF |
Memory access models, interprocess synchronization, consensus, multicore architectures |
13 | Sangyeun Cho, Rami G. Melhem |
On the Interplay of Parallelization, Program Performance, and Energy Consumption. |
IEEE Trans. Parallel Distributed Syst. |
2010 |
DBLP DOI BibTeX RDF |
energy-delay product (EDP), Multicore processor, dynamic voltage and frequency scaling (DVFS), Amdahl's law |
13 | Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé |
Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. |
IEEE Trans. Parallel Distributed Syst. |
2010 |
DBLP DOI BibTeX RDF |
prefetch code generation, Multicore processor, local memories, software cache |
13 | Richard Murphy, Thomas L. Sterling, Chirag Dekate |
Advanced Architectures and Execution Models to Support Green Computing. |
Comput. Sci. Eng. |
2010 |
DBLP DOI BibTeX RDF |
Multicore, systems engineering, supercomputer, power efficiency, execution model |
13 | Xin Jin 0003, Mikel Luján, Luis A. Plana, Sergio Davies, Steve Temple, Steve B. Furber |
Modeling Spiking Neural Networks on SpiNNaker. |
Comput. Sci. Eng. |
2010 |
DBLP DOI BibTeX RDF |
multicore system-on-chip, globally asynchronous locally synchronous design, spiking neural net simulation, biological real-time computing, Massively parallel computing, neural modeling |
13 | Jorge Henrique Martins de Pinho, Miguel Francisco de Almeida Pereira da Rocha, João Luís Ferreira Sobral |
Pluggable Parallelization of Evolutionary Algorithms Applied to the Optimization of Biological Processes. |
PDP |
2010 |
DBLP DOI BibTeX RDF |
optimization of biological systems, evolutionary algorithms, aspect oriented programming, multicore, AspectJ |
13 | Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 |
Speculative parallelization using state separation and multiple value prediction. |
ISMM |
2010 |
DBLP DOI BibTeX RDF |
multicore processors, speculative parallelization |
13 | Leo A. Meyerovich, Rastislav Bodík |
Fast and parallel webpage layout. |
WWW |
2010 |
DBLP DOI BibTeX RDF |
box model, mobile, html, multicore, layout, attribute grammar, css, font, selector |
13 | Shau-Yin Tseng, Kuo-Hung Lin, Wen-Shan Wang, Chung-Ta King, Shih-Hsueh Chang |
Performance and Power Consumption Analysis of DVFS-Enabled H.264 Decoder on Heterogeneous Multi-Core Platform. |
CIT |
2010 |
DBLP DOI BibTeX RDF |
embedded SoC, DSP, multicore, power consumption, H.264/AVC |
13 | Klaus Hildebrandt, Igor Podebrad, Bernd Klauer |
A Computer Architecture with Hardwarebased Malware Detection. |
ARES |
2010 |
DBLP DOI BibTeX RDF |
secure computer architecture, hardware based security, multicore, malware |
13 | Niranjan Soundararajan, Anand Sivasubramaniam, Vijay Narayanan |
Characterizing the soft error vulnerability of multicores running multithreaded applications. |
SIGMETRICS |
2010 |
DBLP DOI BibTeX RDF |
fit rate, multicore, soft errors |
13 | Juan Fang, Hongbo Zhang |
Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. |
FCST |
2010 |
DBLP DOI BibTeX RDF |
multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture |
13 | Jayanth Gummaraju, Ben Sander, Laurent Morichetti, Benedict R. Gaster, Lee W. Howes |
Efficient implementation of GPGPU synchronization primitives on CPUs. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
synchronization, multicore, gpgpu |
13 | Pierre Michaud, Yiannakis Sazeides, André Seznec |
Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
activity migration, sequential performance, power, multicore, temperature, cache misses, manycore |
13 | Lucas C. Cordeiro |
SMT-based bounded model checking for multi-threaded software in embedded systems. |
ICSE (2) |
2010 |
DBLP DOI BibTeX RDF |
SAT modulo theories, formal software verification, multicore systems, computer-aided verification |
13 | Sai Prashanth Muralidhara, Mahmut T. Kandemir, Padma Raghavan |
Intra-application shared cache partitioning for multithreaded applications. |
PPoPP |
2010 |
DBLP DOI BibTeX RDF |
cache, multicore, parallel applications |
13 | Dean F. Sutherland, William L. Scherlis |
Composable thread coloring. |
PPoPP |
2010 |
DBLP DOI BibTeX RDF |
keywords: state consistency, state confinement, thread policy, java, annotation, multicore, race conditions |
13 | Anthony M. Castaldo, R. Clint Whaley |
Scaling LAPACK panel operations using parallel cache assignment. |
PPoPP |
2010 |
DBLP DOI BibTeX RDF |
lu, parallel, multicore, multi-core, factorization, gpu, lapack, atlas, qr |
13 | Mary Jane Irwin |
Shared caches in multicores: the good, the bad, and the ugly. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
caches, multicore |
13 | Yuxiong He, Charles E. Leiserson, William M. Leiserson |
The Cilkview scalability analyzer. |
SPAA |
2010 |
DBLP DOI BibTeX RDF |
burdened parallelism, cilk++, cilkview, dag model, performance, scalability, parallel programming, parallelism, software tools, multithreading, speedup, work, span, multicore programming |
13 | Enoch Peserico |
Brief announcement: flashcrowding in tiled multiprocessors under thermal constraints. |
SPAA |
2010 |
DBLP DOI BibTeX RDF |
energy, multicore, tiled, hot spot, thermal |
13 | Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, Dan Grossman |
CoreDet: a compiler and runtime system for deterministic multithreaded execution. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
compilers, multithreading, multicore, determinism |
13 | Ryan Johnson 0001, Radu Stoica, Anastasia Ailamaki, Todd C. Mowry |
Decoupling contention management from scheduling. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
scheduling, concurrency control, multicore, threads, contention, blocking, spinning, load management |
13 | Alastair F. Donaldson, Uwe Dolinsky, Andrew Richards, George Russell |
Automatic Offloading of C++ for the Cell BE Processor: A Case Study Using Offload. |
CISIS |
2010 |
DBLP DOI BibTeX RDF |
call graph analysis, compilers, Multicore programming, Cell BE |
13 | Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi |
ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. |
ACM Trans. Reconfigurable Technol. Syst. |
2009 |
DBLP DOI BibTeX RDF |
simulator, FPGA, prototype, multiprocessor, multicore, emulator |
13 | Nathan R. Tallent, John M. Mellor-Crummey |
Identifying Performance Bottlenecks in Work-Stealing Computations. |
Computer |
2009 |
DBLP DOI BibTeX RDF |
Call path profiling, Multithreaded programming models, Performance analysis, Multicore processors, HPCToolkit |
13 | Scott Schneider 0001, Jae-Seung Yeom, Dimitrios S. Nikolopoulos |
Programming Multiprocessors with Explicitly Managed Memory Hierarchies. |
Computer |
2009 |
DBLP DOI BibTeX RDF |
Cell Broadband Engine processor, Explicitly managed memory hierarchies, Hardware, Programming models, Multicore processors |
13 | Chirag Dave, Hansang Bae, Seung-Jai Min, Seyong Lee, Rudolf Eigenmann, Samuel P. Midkiff |
Cetus: A Source-to-Source Compiler Infrastructure for Multicores. |
Computer |
2009 |
DBLP DOI BibTeX RDF |
Programming environments/Construction tools, Compiler infrastructures, Software engineering, Automatic parallelization, Multicore processors, Program translation |
13 | Terence Kelly, Yin Wang 0001, Stéphane Lafortune, Scott A. Mahlke |
Eliminating Concurrency Bugs with Control Engineering. |
Computer |
2009 |
DBLP DOI BibTeX RDF |
Multithreaded software, Discrete control theory, Software engineering, Parallel programming, Multicore processors, Control engineering |
13 | Diogo Telmo Neves, João Luís Sobral |
Improving the Separation of Parallel Code in Skeletal Systems. |
ISPDC |
2009 |
DBLP DOI BibTeX RDF |
dependency injection, aspect oriented programming, skeletons, multicore |
13 | Chi-Keung Luk, Sunpyo Hong, Hyesoon Kim |
Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
adaptive, GPU, mapping, heterogeneous, multicore, dynamic compilation |
13 | Lukasz Ziarek, K. C. Sivaramakrishnan, Suresh Jagannathan |
Partial memoization of concurrency and communication. |
ICFP |
2009 |
DBLP DOI BibTeX RDF |
partial memoization, software transactions, concurrent programming, multicore systems, concurrent ml |
13 | Mihai Dobrescu, Norbert Egi, Katerina J. Argyraki, Byung-Gon Chun, Kevin R. Fall, Gianluca Iannaccone, Allan Knies, Maziar Manesh, Sylvia Ratnasamy |
RouteBricks: exploiting parallelism to scale software routers. |
SOSP |
2009 |
DBLP DOI BibTeX RDF |
software router, parallelism, multicore, programmability |
13 | Don G. Grice |
The roadrunner project and the importance of energy efficiency on the road to exascale computing. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
exaflop, exascale computing, heterogeneous multicore architectures, petaflop, cell broadband engine |
13 | Paul M. Carpenter, Alex Ramírez, Eduard Ayguadé |
Mapping stream programs onto heterogeneous multiprocessor systems. |
CASES |
2009 |
DBLP DOI BibTeX RDF |
partitioning, multicore, convexity, stream programming |
13 | Sarita V. Adve |
Memory models: a case for rethinking parallel languages and hardware. |
PODC |
2009 |
DBLP DOI BibTeX RDF |
safe programming, memory models, multicore architecture, memory consistency models |
13 | Matthew Faulkner, Andrew Brampton, Stephen Pink |
Evaluating the Performance of Network Protocol Processing on Multi-core Systems. |
AINA |
2009 |
DBLP DOI BibTeX RDF |
performance evaluation, Multicore, cache performance |
13 | Xiaohong Qiu, Jaliya Ekanayake, Scott Beason, Thilina Gunarathne, Geoffrey C. Fox, Roger S. Barga, Dennis Gannon |
Cloud technologies for bioinformatics applications. |
SC-MTAGS |
2009 |
DBLP DOI BibTeX RDF |
Dryad, MPI, bioinformatics, multicore, cloud, Hadoop |
13 | Min Tang 0001, Dinesh Manocha, Ruofeng Tong 0001 |
Multi-core collision detection between deformable models. |
Symposium on Solid and Physical Modeling |
2009 |
DBLP DOI BibTeX RDF |
parallel collision detection, deformable models, multicore processor, continuous collision detection |
13 | Yale N. Patt |
Multi-core demands multi-interfaces. |
PPoPP |
2009 |
DBLP DOI BibTeX RDF |
performance, design, multicore, software interface |
13 | Carlos Madriles, Pedro López 0001, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez, Antonio González 0001 |
Boosting single-thread performance in multi-core systems through fine-grain multi-threading. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
core-fusion, single-thread performance, multicore, automatic parallelization, thread-level parallelism, speculative multithreading |
13 | Sarita V. Adve |
Memory models: a case for rethinking parallel languages and hardware. |
SPAA |
2009 |
DBLP DOI BibTeX RDF |
safe programming, memory models, multicore architecture, memory consistency models |
13 | Marek Olszewski, Jason Ansel, Saman P. Amarasinghe |
Kendo: efficient deterministic multithreading in software. |
ASPLOS |
2009 |
DBLP DOI BibTeX RDF |
deterministic multithreading, parallel programming, debugging, multicore, determinism |
Displaying result #501 - #600 of 5715 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|