The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multicore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (26) 2006 (74) 2007 (173) 2008 (321) 2009 (464) 2010 (484) 2011 (433) 2012 (456) 2013 (365) 2014 (424) 2015 (383) 2016 (353) 2017 (341) 2018 (315) 2019 (282) 2020 (155) 2021 (196) 2022 (221) 2023 (217) 2024 (32)
Publication types (Num. hits)
article(1725) book(14) data(1) incollection(63) inproceedings(3695) phdthesis(179) proceedings(38)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1848 occurrences of 823 keywords

Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Don Jones Jr., Simon Marlow, Satnam Singh Parallel performance tuning for Haskell. Search on Bibsonomy Haskell The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel profiling, functional programming
17Gregorio Quintana-Ortí, Francisco D. Igual, Enrique S. Quintana-Ortí, Robert A. van de Geijn Solving dense linear systems on platforms with multiple hardware accelerators. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF algorithms-by-blocks, depencency analysis, dynamic scheduling, out-of-order execution, gpus
17Vijay Nagarajan, Rajiv Gupta 0001 ECMon: exposing cache events for monitoring. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache events, recording for replay, speculation past barriers
17Jian Chen 0030, Lizy Kurian John Efficient program scheduling for heterogeneous multi-core processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF program scheduling, heterogeneous multi-core, energy-delay product
17Seng Lin Shee, Andrea Erdos, Sri Parameswaran Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system
17Ralph K. Cavin III, James A. Hutchby, Victor V. Zhirnov, Joe E. Brewer, George Bourianoff Emerging Research Architectures. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ram Rangan, Neil Vachharajani, Guilherme Ottoni, David I. August Performance scalability of decoupled software pipelining. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Decoupled software pipelining, performance analysis
17David Yeh, Li-Shiuan Peh, Shekhar Borkar, John A. Darringer, Anant Agarwal, Wen-mei W. Hwu Thousand-Core Chips [Roundtable]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jaejin Lee, Sangmin Seo, Chihun Kim, Junghyun Kim, Posung Chun, Zehra Sura, Jungwon Kim, Sangyong Han COMIC: a coherent shared memory interface for cell be. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heterogeneous multicores, software shared virtual memory, OpenMP, software distributed shared memory, Cell BE
17Junfeng Fan, Lejla Batina, Kazuo Sakiyama, Ingrid Verbauwhede FPGA Design for Algebraic Tori-Based Public-Key Cryptography. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abhishek Tiwari 0002, Josep Torrellas Facelift: Hiding and slowing down aging in multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Laxmikant V. Kalé The Excitement in Parallel Computing. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shoaib Akram 0001, Scott Cromar, Gregory Lucas, Alexandros Papakonstantinou, Deming Chen VEBoC: Variation and error-aware design for billions of devices on a chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Asim Munawar, Mohamed Wahib, Masaharu Munetomo, Kiyoshi Akama A Survey: Genetic Algorithms and the Fast Evolving World of Parallel Computing. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Maurice Herlihy, Nir Shavit, Moran Tzafrir Hopscotch Hashing. Search on Bibsonomy DISC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17David A. Bader, Kamesh Madduri SNAP, Small-world Network Analysis and Partitioning: An open-source parallel graph framework for the exploration of large-scale networks. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Brian A. Garber, Daniel Hoeflinger, Xiaoming Li 0004, María Jesús Garzarán, David A. Padua Automatic generation of a parallel sorting algorithm. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sadaf R. Alam, Pratul K. Agarwal, Scott S. Hampton, Hong Ong, Jeffrey S. Vetter Impact of multicores on large-scale molecular dynamics simulations. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Matthew Fluet, Mike Rainey, John H. Reppy, Adam Shaw Implicitly-threaded parallelism in Manticore. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF implictly-threaded parallelism, parallel binding, parallel case, data parallelism, exceptions
17Honggang Wang, Jide Zhao, Hongguang Li, Jianguo Wang Parallel Clustering Algorithms for Image Processing on Multi-core CPUs. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Rudolf Marek, Miroslav Skrbek Efficient Implementation of the THSOM Neural Network. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Elisabeth Pelz, Dietmar Tutsch Formal Models for Multicast Traffic in Network on Chip Architectures with Compositional High-Level Petri Nets. Search on Bibsonomy ICATPN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Benfano Soewito, Ning Weng Methodology for Evaluating DNA Pattern Searching Algorithms on Multiprocessor. Search on Bibsonomy BIBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Philip Machanick Design principles for a virtual multiprocessor. Search on Bibsonomy SAICSIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessor, instruction-level parallelism
17Chunhua Liao, Barbara M. Chapman Invited Paper: A Compile-time Cost Model for OpenMP. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Michele Cirinei, Enrico Bini, Giuseppe Lipari, Alberto Ferrari A Flexible Scheme for Scheduling Fault-Tolerant Real-Time Tasks on Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Karl A. Nyberg Multi-core + multi-tasking = multi-opportunity? Search on Bibsonomy SIGAda The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-core, tasking, parallel execution
17Matthew Curtis-Maury, Karan Singh, Sally A. McKee, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 Identifying energy-efficient concurrency levels using machine learning. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Michael Kistler, Michael Perrone, Fabrizio Petrini Cell Multiprocessor Communication Network: Built for Speed. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cell Broadband Engine processor, multiprocessor communication network
17Pradip Bose Looking briefly back, and then forward... Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer architecture, hardware
17Ethan Schuchman, T. N. Vijaykumar A program transformation and architecture support for quantum uncomputation. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF QLA, uncomputation, quantum computing
17Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh A Code Generation Algorithm for Affine Partitioning Framework. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Daniel R. Johnson, Matthew R. Johnson 0003, John H. Kelm, William Tuohy, Steven S. Lumetta, Sanjay J. Patel Rigel: A 1, 024-Core Single-Chip Accelerator Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Multiple data-stream architectures (multiprocessors), multiple data processors, single-chip multiprocessors, parallel architectures, multicore, parallel processors, multiple instruction
13Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Toward Dark Silicon in Servers. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Dark silicon, specialized computing, scalability, power, energy, multicore
13Junli Gu, Yihe Sun, Steven S. Lumetta, Rakesh Kumar 0002 MOPED: Accelerating Data Communication on Future CMPs. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF data communication, Multicore architecture, cache hierarchy
13Siegfried Benkner, Sabri Pllana, Jesper Larsson Träff, Philippas Tsigas, Uwe Dolinsky, Cédric Augonnet, Beverly Bachmayer, Christoph W. Kessler, David Moloney, Vitaly Osipov PEPPHER: Efficient and Productive Usage of Hybrid Computing Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF PEPPHER, GPU, multicore, CPU, hybrid computing
13Yang Song 0007, Ali Akoglu Parallel Implementation of the Irregular Terrain Model (ITM) for Radio Transmission Loss Prediction Using GPU and Cell BE Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF IBM cell broadband engine, Longley-Rice model, NVIDIA GPU, parallel computing, multicore
13Sheng Li 0007, Shannon K. Kuntz, Jay B. Brockman, Peter M. Kogge Lightweight Chip Multi-Threading (LCMT): Maximizing Fine-Grained Parallelism On-Chip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF unlimited multithreading, multicore processors, Multithreaded processors, irregular applications
13Rick Weber, Akila Gothandaraman, Robert J. Hinde, Gregory D. Peterson Comparing Hardware Accelerators in Scientific Applications: A Case Study. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF FPGA, GPU, multicore, computational science, CUDA, Accelerator, OpenCL
13Abhinav Sarje, Jaroslaw Zola, Srinivas Aluru Accelerating Pairwise Computations on Cell Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF computations on matrices, pairwise computations, multicore/single-chip multiprocessors, Parallel algorithms, cell broadband engine, heterogeneous (hybrid) systems
13Wenbin Fang, Bingsheng He, Qiong Luo 0001, Naga K. Govindaraju Mars: Accelerating MapReduce with Graphics Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF parallel computing, MapReduce, multicore processor, graphics processor, many-core architecture
13Carson Brownlee, Vincent Pegoraro, Siddharth Shankar, Patrick S. McCormick, Charles D. Hansen Physically-Based Interactive Flow Visualization Based on Schlieren and Interferometry Experimental Techniques. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Scalar field data, GPUs and multicore architectures, flow visualization
13Diomidis Spinellis Faking It. Search on Bibsonomy IEEE Softw. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF parallelism, concurrency, multicore
13Hun Jung, Miao Ju, Hao Che A Theoretical Framework for Design Space Exploration of Manycore Processors. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP, multicore, design space exploration, queuing network, manycore
13Zhaobo Zhang, Xrysovalantis Kavousianos, Yan Luo, Yiorgos Tsiatouhas, Krishnendu Chakrabarty Signature Analysis for Testing, Diagnosis, and Repair of Multi-mode Power Switches. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Multi-Mode Power Switches, DFT for Multicore Chips, Static Power Management, Testing, Voltage-Control Oscillator
13Yi-Ta Wu, Yih-Tyng Wu, Chao-Yi Cho, Shau-Yin Tseng, Chun-Nan Liu, Chung-Ta King Parallel Integral Image Generation Algorithm on Multi-core System. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF parallel algorithm, image processing, multicore system, integral image
13Carla Osthoff, Pablo Javier Grunmann, Francieli Zanon Boito, Rodrigo Kassick, Laércio Lima Pilla, Philippe Olivier Alexandre Navaux, Claudio Schepke, Jairo Panetta, Nicolas Maillard, Pedro Leite da Silva Dias, Robert L. Walko Improving Performance on Atmospheric Models through a Hybrid OpenMP/MPI Implementation. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF OLAM, I/O Performance Evaluation, Distributed System Application, High Performance Computing, Multicore, Atmospheric Models
13David Eklov, Nikos Nikoleris, David Black-Schaffer, Erik Hagersten Cache Pirating: Measuring the Curse of the Shared Cache. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF multicore performance, performance analysis, memory system, memory bandwidth, cache performance
13Anthony M. Castaldo, R. Clint Whaley Achieving Scalable Parallelization for the Hessenberg Factorization. Search on Bibsonomy CLUSTER The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Hessenberg, parallel, multicore, multi-core, factorization, LAPACK, ATLAS
13Krste Asanovic, Ralph Wittig Guest Editors' Introduction: Hot Chips 21. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel computing, multicore, microprocessors, hardware, accelerators, MEMS
13Takumi Maruyama, Toshio Yoshida, Ryuji Kan, Iwao Yamazaki, Shuji Yamamura, Noriyuki Takahashi, Mikio Hondou, Hiroshi Okano Sparc64 VIIIfx: A New-Generation Octocore Processor for Petascale Computing. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF return address stack, low power, system on chip, multicore, hardware, high performance, processor
13Stijn Eyerman, Lieven Eeckhout Per-Thread Cycle Accounting. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF per-thread cycle accounting, multicore, system software, multithreaded architectures
13Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement
13Mark Woh, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner AnySP: Anytime Anywhere Anyway Signal Processing. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF AnySP, DSP, multicore, SIMD, H.264, embedded processor, software-defined radio, 4G
13Bryan Catanzaro, Armando Fox, Kurt Keutzer, David A. Patterson 0001, Bor-Yiing Su, Marc Snir, Kunle Olukotun, Pat Hanrahan, Hassan Chafi Ubiquitous Parallel Computing from Berkeley, Illinois, and Stanford. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel computing, parallel architectures, shared-memory, multicore, hardware, parallel applications, parallel programming environments
13Davide Pasetto, Fabrizio Petrini, Virat Agarwal Tools for Very Fast Regular Expression Matching. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DotStar, Expression matching, Regular expressions, Multicore processors
13Roger D. Chamberlain, Mark A. Franklin, Eric J. Tyson, James H. Buckley, Jeremy Buhler, Greg Galloway, Saurabh Gayen, Michael J. Hall, E. F. Berkley Shands, Naveen Singla Auto-Pipe: Streaming Applications on Architecturally Diverse Systems. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Auto-Pipe, Software engineering, Processor architectures, Multicore processors, Computer systems organization
13Phuong Hoai Ha, Philippas Tsigas, Otto J. Anshus The Synchronization Power of Coalesced Memory Accesses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Memory access models, interprocess synchronization, consensus, multicore architectures
13Sangyeun Cho, Rami G. Melhem On the Interplay of Parallelization, Program Performance, and Energy Consumption. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy-delay product (EDP), Multicore processor, dynamic voltage and frequency scaling (DVFS), Amdahl's law
13Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetch code generation, Multicore processor, local memories, software cache
13Richard Murphy, Thomas L. Sterling, Chirag Dekate Advanced Architectures and Execution Models to Support Green Computing. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Multicore, systems engineering, supercomputer, power efficiency, execution model
13Xin Jin 0003, Mikel Luján, Luis A. Plana, Sergio Davies, Steve Temple, Steve B. Furber Modeling Spiking Neural Networks on SpiNNaker. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore system-on-chip, globally asynchronous locally synchronous design, spiking neural net simulation, biological real-time computing, Massively parallel computing, neural modeling
13Jorge Henrique Martins de Pinho, Miguel Francisco de Almeida Pereira da Rocha, João Luís Ferreira Sobral Pluggable Parallelization of Evolutionary Algorithms Applied to the Optimization of Biological Processes. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization of biological systems, evolutionary algorithms, aspect oriented programming, multicore, AspectJ
13Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
13Leo A. Meyerovich, Rastislav Bodík Fast and parallel webpage layout. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF box model, mobile, html, multicore, layout, attribute grammar, css, font, selector
13Shau-Yin Tseng, Kuo-Hung Lin, Wen-Shan Wang, Chung-Ta King, Shih-Hsueh Chang Performance and Power Consumption Analysis of DVFS-Enabled H.264 Decoder on Heterogeneous Multi-Core Platform. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded SoC, DSP, multicore, power consumption, H.264/AVC
13Klaus Hildebrandt, Igor Podebrad, Bernd Klauer A Computer Architecture with Hardwarebased Malware Detection. Search on Bibsonomy ARES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF secure computer architecture, hardware based security, multicore, malware
13Niranjan Soundararajan, Anand Sivasubramaniam, Vijay Narayanan Characterizing the soft error vulnerability of multicores running multithreaded applications. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fit rate, multicore, soft errors
13Juan Fang, Hongbo Zhang Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture
13Jayanth Gummaraju, Ben Sander, Laurent Morichetti, Benedict R. Gaster, Lee W. Howes Efficient implementation of GPGPU synchronization primitives on CPUs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synchronization, multicore, gpgpu
13Pierre Michaud, Yiannakis Sazeides, André Seznec Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF activity migration, sequential performance, power, multicore, temperature, cache misses, manycore
13Lucas C. Cordeiro SMT-based bounded model checking for multi-threaded software in embedded systems. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SAT modulo theories, formal software verification, multicore systems, computer-aided verification
13Sai Prashanth Muralidhara, Mahmut T. Kandemir, Padma Raghavan Intra-application shared cache partitioning for multithreaded applications. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache, multicore, parallel applications
13Dean F. Sutherland, William L. Scherlis Composable thread coloring. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF keywords: state consistency, state confinement, thread policy, java, annotation, multicore, race conditions
13Anthony M. Castaldo, R. Clint Whaley Scaling LAPACK panel operations using parallel cache assignment. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lu, parallel, multicore, multi-core, factorization, gpu, lapack, atlas, qr
13Mary Jane Irwin Shared caches in multicores: the good, the bad, and the ugly. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF caches, multicore
13Yuxiong He, Charles E. Leiserson, William M. Leiserson The Cilkview scalability analyzer. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF burdened parallelism, cilk++, cilkview, dag model, performance, scalability, parallel programming, parallelism, software tools, multithreading, speedup, work, span, multicore programming
13Enoch Peserico Brief announcement: flashcrowding in tiled multiprocessors under thermal constraints. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy, multicore, tiled, hot spot, thermal
13Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, Dan Grossman CoreDet: a compiler and runtime system for deterministic multithreaded execution. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compilers, multithreading, multicore, determinism
13Ryan Johnson 0001, Radu Stoica, Anastasia Ailamaki, Todd C. Mowry Decoupling contention management from scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, concurrency control, multicore, threads, contention, blocking, spinning, load management
13Alastair F. Donaldson, Uwe Dolinsky, Andrew Richards, George Russell Automatic Offloading of C++ for the Cell BE Processor: A Case Study Using Offload. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF call graph analysis, compilers, Multicore programming, Cell BE
13Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
13Nathan R. Tallent, John M. Mellor-Crummey Identifying Performance Bottlenecks in Work-Stealing Computations. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Call path profiling, Multithreaded programming models, Performance analysis, Multicore processors, HPCToolkit
13Scott Schneider 0001, Jae-Seung Yeom, Dimitrios S. Nikolopoulos Programming Multiprocessors with Explicitly Managed Memory Hierarchies. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cell Broadband Engine processor, Explicitly managed memory hierarchies, Hardware, Programming models, Multicore processors
13Chirag Dave, Hansang Bae, Seung-Jai Min, Seyong Lee, Rudolf Eigenmann, Samuel P. Midkiff Cetus: A Source-to-Source Compiler Infrastructure for Multicores. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Programming environments/Construction tools, Compiler infrastructures, Software engineering, Automatic parallelization, Multicore processors, Program translation
13Terence Kelly, Yin Wang 0001, Stéphane Lafortune, Scott A. Mahlke Eliminating Concurrency Bugs with Control Engineering. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multithreaded software, Discrete control theory, Software engineering, Parallel programming, Multicore processors, Control engineering
13Diogo Telmo Neves, João Luís Sobral Improving the Separation of Parallel Code in Skeletal Systems. Search on Bibsonomy ISPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dependency injection, aspect oriented programming, skeletons, multicore
13Chi-Keung Luk, Sunpyo Hong, Hyesoon Kim Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive, GPU, mapping, heterogeneous, multicore, dynamic compilation
13Lukasz Ziarek, K. C. Sivaramakrishnan, Suresh Jagannathan Partial memoization of concurrency and communication. Search on Bibsonomy ICFP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partial memoization, software transactions, concurrent programming, multicore systems, concurrent ml
13Mihai Dobrescu, Norbert Egi, Katerina J. Argyraki, Byung-Gon Chun, Kevin R. Fall, Gianluca Iannaccone, Allan Knies, Maziar Manesh, Sylvia Ratnasamy RouteBricks: exploiting parallelism to scale software routers. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software router, parallelism, multicore, programmability
13Don G. Grice The roadrunner project and the importance of energy efficiency on the road to exascale computing. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF exaflop, exascale computing, heterogeneous multicore architectures, petaflop, cell broadband engine
13Paul M. Carpenter, Alex Ramírez, Eduard Ayguadé Mapping stream programs onto heterogeneous multiprocessor systems. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partitioning, multicore, convexity, stream programming
13Sarita V. Adve Memory models: a case for rethinking parallel languages and hardware. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF safe programming, memory models, multicore architecture, memory consistency models
13Matthew Faulkner, Andrew Brampton, Stephen Pink Evaluating the Performance of Network Protocol Processing on Multi-core Systems. Search on Bibsonomy AINA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance evaluation, Multicore, cache performance
13Xiaohong Qiu, Jaliya Ekanayake, Scott Beason, Thilina Gunarathne, Geoffrey C. Fox, Roger S. Barga, Dennis Gannon Cloud technologies for bioinformatics applications. Search on Bibsonomy SC-MTAGS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dryad, MPI, bioinformatics, multicore, cloud, Hadoop
13Min Tang 0001, Dinesh Manocha, Ruofeng Tong 0001 Multi-core collision detection between deformable models. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel collision detection, deformable models, multicore processor, continuous collision detection
13Yale N. Patt Multi-core demands multi-interfaces. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design, multicore, software interface
13Carlos Madriles, Pedro López 0001, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez, Antonio González 0001 Boosting single-thread performance in multi-core systems through fine-grain multi-threading. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF core-fusion, single-thread performance, multicore, automatic parallelization, thread-level parallelism, speculative multithreading
13Sarita V. Adve Memory models: a case for rethinking parallel languages and hardware. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF safe programming, memory models, multicore architecture, memory consistency models
13Marek Olszewski, Jason Ansel, Saman P. Amarasinghe Kendo: efficient deterministic multithreading in software. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF deterministic multithreading, parallel programming, debugging, multicore, determinism
Displaying result #501 - #600 of 5715 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license