The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (16) 1992-1995 (20) 1996-1998 (26) 1999 (18) 2000 (21) 2001-2002 (27) 2003 (42) 2004 (48) 2005 (53) 2006 (67) 2007 (59) 2008 (54) 2009 (33) 2010-2011 (22) 2012-2013 (17) 2014-2015 (16) 2016-2018 (22) 2019-2020 (19) 2021-2022 (27) 2023-2024 (18)
Publication types (Num. hits)
article(144) incollection(4) inproceedings(469) phdthesis(8)
Venues (Conferences, Journals, ...)
FPL(34) FCCM(13) DATE(12) IPDPS(12) IEEE Trans. Computers(11) ISCAS(11) AHS(10) ETFA(10) CoRR(9) ARC(8) FPGA(8) VTC Spring(8) DAC(7) VLSI Design(7) ICRA(6) CASES(5) More (+10 of total 310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 560 occurrences of 366 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Patrick Schaumont, Kazuo Sakiyama, Alireza Hodjat, Ingrid Verbauwhede Embedded Software Integration for Coarse-Grain Reconfigurable Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Klaus Waldschmidt Adaptive System Architectures. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ali Ahmadinia, Christophe Bobda, Marcus Bednara, Jürgen Teich A New Approach for On-line Placement on Reconfigurable Devices. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Carolina Selva, Cosimo Torelli, Danilo Rimondi, Rita Zappa, Stefano Corbani, Giovanni Mastrodomenico, Lara Albani A Programmable Built-in Self-Diagnosis for Embedded SRAM. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk A Structured System Methodology for FPGA Based System-on-A-Chip Design. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ka-Ping Yee Two-handed interaction on a tablet display. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2004 DBLP  DOI  BibTeX  RDF asymmetric bimanual interaction, commodity hardware, tablet computing, touch-sensitive screens
10Marc Epalza, Paolo Ienne, Daniel Mlynek Dynamic Reallocation of Functional Units in Superscalar Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Manfred Glesner, Thomas Hollstein, Leandro Soares Indrusiak, Peter Zipf, Thilo Pionteck, Mihail Petrov, Heiko Zimmer, Tudor Murgan Reconfigurable platforms for ubiquitous computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ubiquitous computing, communication, networks-on-chip, reconfiguration, reconfigurable hardware, dynamic power management, reconfigurable processors
10Xin Liu, Steve Goddard Supporting Dynamic QoS in Linux. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Guichang Zhong, Fan Xu, Dengwei Fu, Alan N. Willson Jr. An energy-efficient reconfigurable angle-rotator architecture. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zhiyuan Yan, Dilip V. Sarwate Universal Reed-Solomon decoders based on the Berlekamp-Massey algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI architectures, Reed-Solomon codes, error-control codes, Berlekamp-Massey algorithm
10Stuart McCracken, Zeljko Zilic Design for Testability of FPGA Blocks. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jason G. Brown, R. D. (Shawn) Blanton CAEN-BIST: Testing the NanoFabric. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Giovanni Agosta, Francesco Bruschi, Donatella Sciuto Synthesis of Dynamic Class Loading Specifications on Reconfigurable Hardware. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kevin Curran, Gerard Parr Introducing IP Domain Flexible Middleware Stacks for Multicast Multimedia Distribution in Heterogeneous Environments. Search on Bibsonomy MATA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Tae-Hyung Kim, Seongsoo Hong State Machine Based Operating System Architecture for Wireless Sensor Networks. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Amit Singh 0001, Arindam Mukherjee 0001, Luca Macchiarulo, Malgorzata Marek-Sadowska PITIA: an FPGA for throughput-intensive applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Baback A. Izadi, Füsun Özgüner Enhanced Cluster k-Ary n-Cube, A Fault-Tolerant Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF spare allocation, augmented multiprocessor, wave switching, Fault tolerance, reconfiguration, hypercube, k-ary n-cube
10Kohsia S. Huang, Mohan M. Trivedi Video arrays for real-time tracking of person, head, and face in an intelligent room. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Multi-camera systems, Omnidirectional video arrays, 3D person and face tracking, Intelligent rooms, Real-time vision
10Keith Whisnant, Zbigniew Kalbarczyk, Ravishankar K. Iyer A Foundation for Adaptive Fault Tolerance in Software. Search on Bibsonomy ECBS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Nikos Migas, William J. Buchanan, Kevin A. McArtney Mobile Agents for Routing, Topology Discovery, and Automatic Network Reconfiguration in Ad-Hoc Networks. Search on Bibsonomy ECBS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stationary agents, automatic network reconfiguration, ad-hoc networks, wireless networks, mobile agents, routing protocols, topology discovery
10Giacomo Morabito, Sergio Palazzo, Michele Rossi, Michele Zorzi Improving End-to-End Performance in Reconfigurable Networks through Dynamic Setting of TCP Parameters. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Aldo Roveri, Carla-Fabiana Chiasserini, Mauro Femminella, Tommaso Melodia, Giacomo Morabito, Michele Rossi, Ilenia Tinnirello The RAMON Module: Architecture Framework and Performance Results. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mauro Femminella, Leonardo Piacentini Mobility Management in a Reconfigurable Environment: The RAMON Approach. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Zahra Sadat Ebadi, André Ivanov Time Domain Multiplexed TAM: Implementation and Comparison. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Time domain multiplexed TAM, Optimal test time, Test Access Mechanism (TAM), SoC testing, Embedded core testing
10Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Om Prakash Gangwal, Johan G. W. M. Janssen, Selliah Rathnam, Erwin B. Bellers, Marc Duranton Understanding Video Pixel Processing Applications for Flexible Implementations. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Helmut Alt, Christian Knauer, Günter Rote, Sue Whitesides The complexity of (un)folding. Search on Bibsonomy SCG The full citation details ... 2003 DBLP  DOI  BibTeX  RDF linkage reconfiguration, computational geometry, PSPACE-completeness
10Praveen Krishnamurthy, Mark A. Franklin, Roger D. Chamberlain Dynamic Reconfiguration of an Optical Interconnect. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Allen Michalski, Kris Gaj, Tarek A. El-Ghazawi An Implementation Comparison of an IDEA Encryption Cryptosystem on Two General-Purpose Reconfigurable Computers. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10John Teifel, Rajit Manohar Programmable Asynchronous Pipeline Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Vinu Vijay Kumar, John C. Lach Designing, Scheduling, and Allocating Flexible Arithmetic Components. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Markus Hütter, Holger Bock, Michael Scheppler A New Reconfigurable Architecture for Single Cycle Context Switching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Paul Beckett A Polymorphic Hardware Platform. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Wei Ming Lim, Mohammed Benaissa Design space exploration of a hardware-software co-designed GF(2m) galois field processor for forward error correction and cryptography. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GF(2m) arithmetic, forward error control coding, galois field processor, cryptography, advanced encryption standard, elliptic curve cryptography, design space exploration, Reed-Solomon code, hardware-software co-design, BCH code
10Osvaldo Colavin, Davide Rizzo A scalable wide-issue clustered VLIW with a reconfigurable interconnect. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clustered VLIW, reconfigurable co-processor (RCP), modulo scheduling, IDCT
10Michele Amoretti, Stefano Bottazzi, Monica Reggiani, Stefano Caselli Designing Telerobotic Systems as Distributed CORBA-Based Applications. Search on Bibsonomy OTM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Reza Sedaghat A fast algorithm to reduce 2-dimensional assignment problems to 1-dimensional assignment problems for FPGA-based fault simulation. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kuan-Hung Chen, Tzi-Dar Chiueh Design and implementation of a reconfigurable FIR filter. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Paul Beckett Exploiting multiple functionality for nano-scale reconfigurable systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF chalcogenide, double gate transistors, multi-valued RAM, multiple functionality, resonant tunneling, nanotechnology, reconfigurable systems, carbon nanotube, nanoelectronics, RTD
10Zeyad Ali, Qutaibah M. Malluhi NSM: A Distributed Storage Architecture for Data-Intensive Applications. Search on Bibsonomy IEEE Symposium on Mass Storage Systems The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Garrison W. Greenwood, Edward Ramsden, Saima Ahmed An Empirical Comparison of Evolutionary Algorithms for Evolvable Hardware. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chia-Lin Hsu, Shih-Feng Chou, Jong-Chuang Tsay, Feng-Jian Wang JTEAM: A Framework for Effective Teamwork of BDI-Based Agents. Search on Bibsonomy FTDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Nikos Houssos, Nancy Alonistioti, Lazaros F. Merakos A Scheme for the Introduction of 3rd Party, Application-Specific Adaptation Features in Mobile Service Provision. Search on Bibsonomy DAIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ludger Fiege, Felix C. Gärtner, Oliver Kasten, Andreas Zeidler Supporting Mobility in Content-Based Publish/Subscribe Middleware. Search on Bibsonomy Middleware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10G. Costantino Giaconia, Antonio Di Stefano, Giuseppe Capponi Reconfigurable Digital Instrumentation Based on FPGA. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Aldo Romani, Fabio Campi, S. Ronconi, Marco Tartagni, Gianni Medoro, Nicolò Manaresi A System-on-a-Programmable-Chip for Real-Time Control of Massively Parallel Arrays of Biosensors and Actuators. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jan Craninckx, Stéphane Donnay 4G terminals: how are we going to design them? Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 4th generation, radio front-end, telecommunication, wireless systems
10Licia Capra, Gordon S. Blair, Cecilia Mascolo, Wolfgang Emmerich, Paul Grace Exploiting reflection in mobile computing middleware. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Geoff Coulson, Gordon S. Blair, Michael Clarke, Nikos Parlavantzas The design of a configurable and reconfigurable middleware platform. Search on Bibsonomy Distributed Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Component technology reflection, Middleware, Reconfiguration
10Sandeep Koranne A Novel Reconfigurable Wrapper for Testing of Embedded Core-Based SOCs and its Associated Scheduling Algorithm. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded core based test scheduling, reconfigurable wrapper, parallel scheduling of malleable tasks, system-on-chip test, VLSI test
10Francisco Barat, Rudy Lauwereins, Geert Deconinck Reconfigurable Instruction Set Processors from a Hardware/Software Perspective. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reconfigurable instruction set processor overview, compiler, microprocessor, reconfigurable logic
10J. Bryan Lewis, Ivo Bolsens, Rudy Lauwereins, Chris Wheddon, Bhusan Gupta, Yankin Tanurhan Reconfigurable SoC - What Will it Look Like? Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Saburo Matunaga, Ryuichi Hodoshima, Hideto Okada, Naoki Miyashita, Nobumasa Yamaguchi Ground experiment system of reconfigurable robot satellites. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Mauro Migliardi, Vaidy S. Sunderam Automatic Reincarnation of Deceased Plug-Ins in the HARNESS Metacomputing System. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Oskar Mencer PAM-Blox II: Design and Evaluation of C++ Module Generation for Computing with FPGAs. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ingrid Verbauwhede, M.-C. Frank Chang Reconfigurable interconnect for next generation systems. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF architectures, reconfiguration, interconnect, design methods, power efficiency
10Takushi Hisakado, Tsuneto Nishimura, Kohshi Okumura Hardware implementation of Moore test on FPGA. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gordon S. Blair, Geoff Coulson, Lynne Blair, Hector A. Duran-Limon, Paul Grace, Rui S. Moreira, Nikos Parlavantzas Reflection, self-awareness and self-healing in OpenORB. Search on Bibsonomy WOSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF middleware, reflection, self-healing, self-awareness
10Andrzej Kapolka, Don McGregor, Michael V. Capps A unified component framework for dynamically extensible virtual environments. Search on Bibsonomy CVE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic extensibility, Java, XML, networked virtual environments, component-based architectures
10Sergej Sawitzki, Steffen Köhler, Rainer G. Spallek Prototyping Framework for Reconfigurable Processors. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Krishna V. Palem, Surendranath Talla, Weng-Fai Wong Compiler Optimizations for Adaptive EPIC Processors. Search on Bibsonomy EMSOFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Christophe Bobda, Nils Steenbock Singular Value Decomposition on Distributed Reconfigurable Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dawid Kurzyniec, Vaidy S. Sunderam Flexible Class Loader Framework: Sharing Java Resources in Harness System. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Aravind Dasu, Sethuraman Panchanathan Reconfigurable Media Processing. Search on Bibsonomy ITCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Gordon S. Blair, Geoff Coulson, Michael Clarke, Nikos Parlavantzas Performance and Integrity in the OpenORB Reflective Middleware. Search on Bibsonomy Reflection The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Abram P. Dancy, Rajeevan Amirtharajah, Anantha P. Chandrakasan High-efficiency multiple-output DC-DC conversion for low-voltage systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mauro Migliardi, Vaidy S. Sunderam, Arrigo L. Frisiani A Simple, Fault Tolerant Naming Space for the HARNESS Metacomputing System. Search on Bibsonomy PVM/MPI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mauro Migliardi, Vaidy S. Sunderam The Harness PVM-Proxy: Gluing PVM Applications to Distributed Object Environments and Applications. Search on Bibsonomy Heterogeneous Computing Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Amit Singh 0001, Luca Macchiarulo, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska A novel high throughput reconfigurable FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mounir Benabdenbi, Walid Maroufi, Meryem Marzouki CAS-BUS: A Scalable and Reconfigurable Test Access Mechanism for Systems on a Chip. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Takahiro Murooka, Toshiaki Miyazaki Protocol Stack-Based Telecom-Emulator. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Didier Dulac, Gilles Bertrand 0001, Saloua Guezguez Parallel Segmentation Based on Topology with the Associative Net Model. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA
10Paul M. Heysters, Jaap Smit, Gerard J. M. Smit, Paul J. M. Havinga Mapping of DSP Algorithms on Field Programmable Function Arrays. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Tero Rissa, Jarkko Niittylahti A Hybrid Prototyping Platform for Dynamically Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Yuichiro Shibata, Masaki Uno, Hideharu Amano, Koichiro Furuta, Taro Fujii, Masato Motomura A Virtual Hardware System on a Dynamically Reconfigurable Logic Device. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Francisco Barat, Rudy Lauwereins Reconfigurable Instruction Set Processors: A Survey. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamically reconfigurable instruction set processor, reconfigurable functional unit, application specific instructions, reconfigurable computing
10Moritoshi Yasunaga, Ikuo Yoshihara, Jung Hwan Kim A High Speed and High Fault Tolerant Reconfigurable Reasoning System: Toward a Wafer Scale Reconfigurable Reasoning LSI. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dewayne E. Perry A Product Line Architecture for a Network Product. Search on Bibsonomy IW-SAPF The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Brian Dipert, Danesh Tavana, Barry K. Britton, Bill Harris, Bob Boderson, Chris Rowen Future systems-on-chip: software of hardware design? (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Octavian-Dumitru Mocanu, Joan Oliver Fault-Tolerant Memory Architecture Against Radiation-Dependent Errors: A Mixed Error Control Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hamming SEC code, latch-up, memory system, single event upset, built-in current sensor
10Damien Cailliau, Remy Bellenger The CorotInstrument's Software: Towards Intrinsically Reconfigurable Real-Time Embedded Processing Software in Space-Born Instruments. Search on Bibsonomy HASE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Space-borne, Reconfiguration, Software, embedded, Dynamic, Proxy
10Mauro Migliardi, Vaidy S. Sunderam PVM Emulation in the Harness Metacomputing System: A Plug-in Based Approach. Search on Bibsonomy PVM/MPI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Toshiaki Miyazaki, Takahiro Murooka, Masaru Katayama, Atsushi Takahara Transmutable Telecom System and Its Application. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, Networks, CAD, ATM, Reconfigurable, Telecommunications, Programmable
10Alberto Ferrari, Alberto L. Sangiovanni-Vincentelli System Design: Traditional Concepts and New Paradigms. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF embedded system, system design, platform
10M. Ranganathan, Virginie Schaal, Virginie Galtier, Doug Montgomery Mobile Streams: A Middleware for Reconfigurable Distributed Scripting. Search on Bibsonomy ASA/MA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Gianpaolo Cugola, Carlo Ghezzi Design and Implementation of PROSYT: A Distributed Process Support System. Search on Bibsonomy WETICE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10James Jennings, Eric Beuscher Verischemelog: Verilog embedded in Scheme. Search on Bibsonomy DSL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Guangming Lu, Hartej Singh, Ming-Hau Lee, Nader Bagherzadeh, Fadi J. Kurdahi, Eliseu M. Chaves Filho, Vladimir Castro Alves The MorphoSys Dynamically Reconfigurable System-on-Chip. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Emeka Mosanya, Christof Teuscher, Héctor Fabio Restrepo, Patrick Galley, Eduardo Sanchez CryptoBooster: A Reconfigurable and Modular Cryptographic Coprocessor. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, Cryptography, Reconfiguration, Coprocessor, IDEA
10Akihiro Matsuura, Akira Nagoya Summation Algorithms on Constrained Reconfigurable Meshes. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF constrained reconfigurable mesh, Bit summation, parallel algorithm, reconfigurable mesh
10Lizy Kurian John, E. John A dynamically reconfigurable interconnect for array processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Michael J. Wirthlin, Brad L. Hutchings Improving functional density using run-time circuit reconfiguration [FPGAs]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10John Y. Wei, Michael Post, Chien-Chung Shen, Brian J. Wilson 0001, Jorge L. Pastor, Mari Maeda, Yukun Tsai Network control and management of reconfigurable WDM all-optical network. Search on Bibsonomy NOMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Mauro Migliardi, Jack J. Dongarra, Al Geist, Vaidy S. Sunderam Dynamic Reconfiguration and Virtual Machine Management in the Harness Metacomputing System. Search on Bibsonomy ISCOPE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Toshiaki Miyazaki, Kazuhiro Shirakawa, Masaru Katayama, Takahiro Murooka, Atsushi Takahara A Transmutable Telecom System. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Neil W. Bergmann, Peter R. Sutton A High-Performance Computing Module for a Low Earth Orbit Satellite Using Reconfigurable Logic. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Tsunemichi Shiozawa, Kiyoshi Oguri, Kouichi Nagami, Hideyuki Ito, Ryusuke Konishi, Norbert Imlig A Hardware Implementation of Constraint Satisfaction Problem Based on New Reconfigurable LSI Architecture. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Dinesh Bhatia, PariVallal Kannan, Kuldeep S. Simha, Karthikeya M. Gajjala Purna REACT: Reactive Environment for Runtime Reconfiguration. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license