The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Norman Kojima, Yukiko Parameswar, Christian Klingner, Yukio Ohtaguro, Masataka Matsui, Shigeaki Iwasa, Tatsuo Teruyama, Takayoshi Shimazawa, Hideki Takeda, Kouji Hashizume, Haruyuki Tago, Masaaki Yamada Repeater insertion method and its application to a 300MHz 128-bit 2-way superscalar microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Murali Annavaram, Gary S. Tyson, Edward S. Davidson Instruction overhead and data locality effects in superscalar processors. Search on Bibsonomy ISPASS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tatiana Gadelha Serra dos Santos, Sergio Bampi Analyzing Instruction Prefetch Schemes in Superscalar Architectures. Search on Bibsonomy PDPTA The full citation details ... 2000 DBLP  BibTeX  RDF
17Mark Wolff, Linda M. Wills SATSim: a superscalar architecture trace simulator using interactive animation. Search on Bibsonomy WCAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Hadi Shahriar Shahhoseini, Madjid Naderi, S. Nemati Achieving the best performance on superscalar processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17John Clouser, Mark Matson, R. Badeau, R. Dupcak, Sridhar Samudrala, Randy L. Allmon, N. Fairbanks A 600-MHz superscalar floating-point processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ruey-Liang Ma, Chung-Ping Chung Reducing Memory Traffic and Accelerting Prolog Execution in a Superscalar Prolog System. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 1999 DBLP  BibTeX  RDF
17Jongbok Lee, Soo-Mook Moon, Wonyong Sung An enhanced two-level adaptive multiple branch prediction for superscalar processors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Pradip Bose, Sunil Kim, Francis P. O'Connell, William A. Ciarfella Bounds modelling and compiler optimizations for superscalar performance tuning. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jurij Silc, Borut Robic, Theo Ungerer Processor architecture - from dataflow to superscalar and beyond. Search on Bibsonomy 1999   RDF
17Lucian N. Vintan, Cristian Armat, Gordon B. Steven The impact of cache organisation on the instruction issue rate of a superscalar processor. Search on Bibsonomy PDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Eric Rotenberg, Quinn Jacobson, James E. Smith 0001 A Study of Control Independence in Superscalar Processors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Miroslav N. Velev, Randal E. Bryant Superscalar Processor Verification Using Efficient Reductions of the Logic of Equality with Uninterpreted Functions to Propositional Logic. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Oleg Bessonov, Dominique Fougère, Ky Dang Quoc, Bernard Roux Methods for Achieving Peak Computational Rates for Linear Algebra Operations on Superscalar RISC Processors. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Francisca Quintana, Jesús Corbal, Roger Espasa, Mateo Valero Adding a vector unit to a superscalar processor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Omar Hammami Neural Network Classifiers Execution on Superscalar Microprocessors. Search on Bibsonomy ISHPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Kanad Ghose, Milind B. Kamble Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF power estimation, low power caches
17Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen Superscalar Processor Validation at the Microarchitecture Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh A Comparison of Scalable Superscalar Processors. Search on Bibsonomy SPAA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Sanjay J. Patel Trace cache design for wide-issue superscalar processors. Search on Bibsonomy 1999   RDF
17Graham P. Jones The limits of a decoupled out-of-order superscalar architecture. Search on Bibsonomy 1999   RDF
17Hajime Kubosawa, Hiromasa Takahashi, Satoshi Ando, Yoshimi Asada, Akira Asato, Atsuhiro Suga, Michihide Kimura, Naoshi Higaki, Hideo Miyake, Tomio Sato, Hideaki Anbutsu, Toshitaka Tsuda, Tetsuo Yoshimura, Isao Amano, Mutsuaki Kai, Shin Mitarai A 1.2-W, 2.16-GOPS/720-MFLOPS embedded superscalar microprocessor for multimedia applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Kazumasa Suzuki, Tomohisa Arai, Kouhei Nadehara, Ichiro Kuroda V830R/AV: embedded multimedia superscalar RISC processor. Search on Bibsonomy IEEE Micro The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Steven Wallace, Nader Bagherzadeh A scalable register file architecture for superscalar processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17José González 0002, Antonio González 0001 Data value speculation in superscalar processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Philip H. Sweany, Steve Carr 0001, Brett L. Huber Compiler Optimization for Superscalar Systems: Global Instruction Scheduling without Copies. Search on Bibsonomy Digit. Tech. J. The full citation details ... 1998 DBLP  BibTeX  RDF
17Venkata Krishnan, Josep Torrellas An Direct-Execution Framework for Fast and Accurate Simulation of Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Soner Önder, Rajiv Gupta 0001 Superscalar Execution with Direct Data Forwarding. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Fred G. Gustavson, André Henriksson, Isak Jonsson, Bo Kågström, Per Ling Superscalar GEMM-based Level 3 BLAS - The On-going Evolution of a Portable and High-Performance Library. Search on Bibsonomy PARA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Joon-Seok Kim 0002, Sun Kook Yoo, Sung-Wook Park, Nam Hoon Jung, Woo-Suk Ko, Keun-Sup Lee, Dae Hee Youn 4-way superscalar DSP processor for audio codec applications. Search on Bibsonomy ICASSP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17J. Alcântara, V. C. Alves, E. Filho Designing the Dispatch Stage of a Superscalar Microprocessor. Search on Bibsonomy SBCCI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17M. Srinivas, Alexandru Nicolau Analyzing the Individual/Combined Effects of Speculative and Guarded Execution on a Superscalar Architecture. Search on Bibsonomy IPPS/SPDP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17S.-K. Cheng, R.-Ming Shiu, Jean Jyh-Jiun Shann Decoding Unit with High Issue Rate for X86 Superscalar Microprocessors. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Mark Matson, Dan Bailey, Shane L. Bell, Larry L. Biro, Steve Butler, John Clouser, Jim Farrell, Mike Gowan, Donald A. Priore, Kathryn Wilcox Circuit implementation of a 600 MHz superscalar RISC microprocessor. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Ing-Jer Huang, Tzu-Chin Peng Analysis of ×86 instruction set usage for DOS/Windows applications and its implication on superscalar design. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Francisca Quintana, Roger Espasa, Mateo Valero An ISA Comparison Between Superscalar and Vector Processors. Search on Bibsonomy VECPAR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Chris Basoglu, Woobin Lee, Yongmin Kim 0001 An Efficient FFT Algorithm for Superscalar and VLIW Processor Architectures. Search on Bibsonomy Real Time Imaging The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Cliff A. Maier, James A. Markevitch, Cheryl Senter Brashears, Tim Sippel, Earl T. Cohen, Jim Blomgren, James G. Ballard, Jay Pattin, Viki Moldenhauer, Jeffrey A. Thomas, George Taylor A 533-MHz BiCMOS superscalar RISC microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Dezsö Sima Superscalar instruction issue. Search on Bibsonomy IEEE Micro The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17José M. Pérez Villadeamigo, Santiago Rodríguez de la Fuente, Rafael Méndez Cavanillas, M. Isabel García Clemente The em88110: emulating a superscalar processor. Search on Bibsonomy ACM SIGCSE Bull. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Allen Leung, Krishna V. Palem, Cristian Ungureanu Run-Time versus Compile-Time Instruction Scheduling in Superscalar (RISC) Processors: Performance and Trade-Off. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Gordon B. Steven, Bruce Christianson, Roger Collins, Richard D. Potter, Fleur L. Steven A superscalar architecture to exploit instruction level parallelism. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Isidoro Urriza, J. I. García, Denis Navarro Instruction issue system for superscalar processors. Search on Bibsonomy PDP The full citation details ... 1997 DBLP  BibTeX  RDF
17A. Richard Kennedy, Mike Alexander, Eric Fiene, Jose A. Lyon, Belli Kuttanna, Rajesh Patel, Mydung N. Pham, Michael Putrino, Cody Croxton, Suzanne Litch, Brad Burgess A G3 PowerPC™ superscalar low-power microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Prasenjit Biswas, Andy Freeman, Kouji Yamada, Norio Nakagawa, Kunio Uchiyama Functional verification of the superscalar SH-4 microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17James E. Bennett, Michael J. Flynn Prediction Caches for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer
17Yuan C. Chou, Daniel P. Siewiorek, John Paul Shen A Realistic Study on Multithreaded Superscalar Processor Design. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Jongbok Lee, Wonyong Sung, Soo-Mook Moon An Enhanced Two-Level Adaptive Multiple Branch Prediction for Superscalar Processors. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Rong-Yuh Hwang An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
17Toni Juan, Juan J. Navarro, Olivier Temam Data Caches for Superscalar Processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Andrew Davey, David Lloyd An Evaluation of Asynchronous and Synchronous Design for Superscalar Architectures. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Shusuke Okamoto, Masahiro Sowa Intruction Fetch Mechanism for PN-Superscalar. Search on Bibsonomy PDPTA The full citation details ... 1997 DBLP  BibTeX  RDF
17Chung-Ho Chen, Akida Wu An enhanced DLX-based superscalar system simulator. Search on Bibsonomy WCAE@HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Kyle L. Nelson, Alok Jain, Randal E. Bryant Formal Verification of a Superscalar Execution Unit. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Nader Vasseghi, Kenneth Yeager, Egino Saito, Mahdi Seddighnezhad 200-MHz superscalar RISC microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Fumio Murabayashi, Tatsumi Yamauchi, Hiromichi Yamada, Takahiro Nishiyama, Kotaro Shimamura, Shigeya Tanaka, Takashi Hotta, Teruhisa Shimizu, Hideo Sawamoto 2.5 V CMOS circuit techniques for a 200 MHz superscalar RISC processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Kenneth C. Yeager The Mips R10000 superscalar microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17David H. Albonesi, Israel Koren A Mean Analysis Multiprocessor Model Incorporating Superscalar Processors and Latency Tolerating Techniques. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Martti Forsell Minimal pipeline architecture - an alternative to superscalar architecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Chandrasekhar Narayanaswami 0001 Superscalar RISC machines, straight-line programs, and graphics. Search on Bibsonomy Vis. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Straight-line coding, Branch reduction, Processor architecture, Graphics programs
17M. Loikkanen, Nader Bagherzadeh A fine-grain multithreading superscalar architecture. Search on Bibsonomy IEEE PACT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17P. Tinumalai, Boris Beylin, Krishna Subramanian 0003 The design of a modulo scheduler for a superscalar RISC processor. Search on Bibsonomy IEEE PACT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Manoj Franklin Incorporating fault tolerance in superscalar processors. Search on Bibsonomy HiPC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Allen Leung, Krishna V. Palem, Cristian Ungureanu Run-time versus compile-time instruction scheduling in superscalar (RISC) processors: performance and tradeoffs. Search on Bibsonomy HiPC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Harvey J. Wasserman Benchmark Tests on the Digital Equipment Corporation Alpha AXP 21164-based AlphaServer 8400, Including a Comparison of Optimized Vector and Superscalar Processing. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Bryan Black, Andrew S. Huang, Mikko H. Lipasti, John Paul Shen Can Trace-Driven Simulators Accurately Predict Superscalar Performance? Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Thomas M. Conte, Mary Ann Hirsch, Kishore N. Menezes Reducing State Loss For Effective Trace Sampling of Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Anthony C. J. Fox, Neal A. Harman An Algebraic Model of Correctness for Superscalar Microprocessors. Search on Bibsonomy FMCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17James J. Carrig Jr., Gerard G. L. Meyer A Three-Parameter Fast Givens QR Algorithm for Superscalar Processors. Search on Bibsonomy ICPP, Vol. 2 The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Shusuke Okamoto, Masahiro Sowa Hybrid Processor Based on VLIW and PN-Superscalar. Search on Bibsonomy PDPTA The full citation details ... 1996 DBLP  BibTeX  RDF
17Kenneth M. Wilson, Kunle Olukotun, Mendel Rosenblum Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Jerry R. Burch Techniques for Verifying Superscalar Microprocessors. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Joe Circello, Greg Edgington, Dan McCarthy, James Gay, David Schimke, Steven Sullivan, Richard Duerden, Chris Hinds, Danny Marquette, Lal Sood, Al Crouch, Daniel Chow The superscalar architecture of the MC68060. Search on Bibsonomy IEEE Micro The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17John H. Edmondson, Paul I. Rubinfeld, Ronald P. Preston, Vidya Rajagopalan Superscalar instruction execution in the 21164 Alpha microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Christian Iseli, Eduardo Sanchez Spyder: A SURE (SUperscalar and REconfigurable) processor. Search on Bibsonomy J. Supercomput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Pohua P. Chang, Daniel M. Lavery, Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu The Importance of Prepass Code Scheduling for Superscalar and Superpipelined Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Steven Wallace, Nader Bagherzadeh Performance issues of a superscalar microprocessor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Michael Kantrowitz, Lisa M. Noack Functional Verification of a Multiple-issue, Pipelined, Superscalar Alpha Processor - the Alpha 21164 CPU Chip Search on Bibsonomy Digit. Tech. J. The full citation details ... 1995 DBLP  BibTeX  RDF
17Neng-Pin Lu, Chung-Ping Chung Memory System Design in Superscalar Processing. Search on Bibsonomy Int. J. High Speed Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17James E. Smith, Gurindar S. Sohi The microarchitecture of superscalar processors. Search on Bibsonomy Proc. IEEE The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Ruey-Liang Ma, Chung-Ping Chung Periodic Adaptive Branch Prediction and its Application in Superscalar Processing in Prolog. Search on Bibsonomy Comput. J. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Chikako Nakanishi, Hideki Ando, Hirohisa Machida, Masao Nakaya Code scheduling on a superscalar processor: SARCH. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Wayne Yamamoto, Mario Nemirovsky Increasing superscalar performance through multistreaming. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
17David H. Albonesi, Israel Koren An analytical model of high performance superscalar-based multiprocessors. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
17David Levitan, Thomas Thomas, Paul Tu The PowerPC 620 Microprocessor: A High Performance Superscalar RISC Microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Dale Greenley, J. Bauman, D. Chang, Dennis Chen, R. Eltejaein, Philip A. Ferolito, P. Fu, Robert B. Garner, D. Greenhill, H. Grewal, Kalon Holdbrook, B. Kim, Leslie Kohn, Hang Kwan, M. Levitt, Guillermo Maturana, D. Mrazek, Chitresh Narasimhaiah, Kevin Normoyle, N. Parveen, P. Patel, A. Prabhu, Marc Tremblay, Michelle Wong, L. Yang, Krishna Yarlagadda, Robert K. Yu, Robert Yung, Gregory B. Zyner UltraSPARC: The Next Generation Superscalar 64-bit SPARC. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Trung A. Diep, John Paul Shen Systematic Validation of Pipeline Interlock for Superscalar Microarchitectures. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Nirmal R. Saxena, Chien Chen, Ravi Swami, Hideki Osone, Shalesh Thusoo, David Lyon, David Chang, Anand Dharmaraj, Niteen Patkar, Yizhi Lu, Ben Chia Error Detection and Handling in a Superscalar, Speculative Out-of-Order Execution Processor System. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Luis A. Lozano, Guang R. Gao Exploiting short-lived variables in superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Simonjit Dutta, Manoj Franklin Control flow prediction with tree-like subgraphs for superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Subbarao Palacharla, James E. Smith 0001 Decoupling integer execution in superscalar processors. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Shlomo Weiss Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors. Search on Bibsonomy HPCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Rastislav Bodík, Rajiv Gupta 0001 Array Data Flow Analysis for Load-Store Optimizations in Superscalar Architectures. Search on Bibsonomy LCPC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Manoj Franklin A study of time redundant fault tolerance techniques for superscalar processors. Search on Bibsonomy DFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Miroslav Tuma, Miroslav Rozlozník On the Efficiency of Superscalar and Vector Computer for some Problems in Scientif Computing. Search on Bibsonomy SOFSEM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Roger Collins Exploiting instruction-level parallelism in superscalar architecture. Search on Bibsonomy 1995   RDF
17Shigeya Tanaka, Takashi Hotta, Fumio Murabayashi, Hiromichi Yamada, Shoji Yoshida, Kotaro Shimamura, Koyo Katsura, Tadaaki Bandoh, Koichi Ikeda, Kenji Matsubara, Kouji Saitou, Tetsuo Nakano, Teruhisa Shimizu, Ryuichi Satomura A 120-MHz BiCMOS superscalar RISC processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Gianfranco Gerosa, Sonya Gary, Carl Dietz, Dac Pham, Kathy Hoover, Jose Alvarez, Hector Sanchez, Pete Ippolito, Tai Ngo, Suzanne Litch, Jim Eno, Jim Golab, Neil Vanderschaaf, Jim Kahle A 2.2 W, 80 MHz superscalar RISC microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Robert F. Krick, Lawrence T. Clark, Daniel J. Deleganes, Keng L. Wong, Roshan Fernando, Goutam Debnath, Jashojiban Banik A 150 MHz 0.6 μm BiCMOS superscalar microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17John Lenell, Nader Bagherzadeh A performance comparison of several superscalar processor models with a VLIW processor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license