Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Norman Kojima, Yukiko Parameswar, Christian Klingner, Yukio Ohtaguro, Masataka Matsui, Shigeaki Iwasa, Tatsuo Teruyama, Takayoshi Shimazawa, Hideki Takeda, Kouji Hashizume, Haruyuki Tago, Masaaki Yamada |
Repeater insertion method and its application to a 300MHz 128-bit 2-way superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan, pp. 641-646, 2000, ACM, 0-7803-5974-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Murali Annavaram, Gary S. Tyson, Edward S. Davidson |
Instruction overhead and data locality effects in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2000 IEEE International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings, pp. 95-100, 2000, IEEE Computer Society, 0-7803-6418-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Tatiana Gadelha Serra dos Santos, Sergio Bampi |
Analyzing Instruction Prefetch Schemes in Superscalar Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 2000, June 24-29, 2000, Las Vegas, Nevada, USA, 2000, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
17 | Mark Wolff, Linda M. Wills |
SATSim: a superscalar architecture trace simulator using interactive animation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCAE ![In: Proceedings of the 2000 workshop on Computer architecture education, WCAE@ISCA 2000, Vancouver, BC, Canada, June 10, 2000, pp. 6, 2000, ACM, 978-1-4503-4730-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Hadi Shahriar Shahhoseini, Madjid Naderi, S. Nemati |
Achieving the best performance on superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 27(4), pp. 6-11, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | John Clouser, Mark Matson, R. Badeau, R. Dupcak, Sridhar Samudrala, Randy L. Allmon, N. Fairbanks |
A 600-MHz superscalar floating-point processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 34(7), pp. 1026-1029, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Ruey-Liang Ma, Chung-Ping Chung |
Reducing Memory Traffic and Accelerting Prolog Execution in a Superscalar Prolog System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Sci. Eng. ![In: J. Inf. Sci. Eng. 15(6), pp. 859-884, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
17 | Jongbok Lee, Soo-Mook Moon, Wonyong Sung |
An enhanced two-level adaptive multiple branch prediction for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 45(8), pp. 591-602, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Pradip Bose, Sunil Kim, Francis P. O'Connell, William A. Ciarfella |
Bounds modelling and compiler optimizations for superscalar performance tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 45(12-13), pp. 1111-1137, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Jurij Silc, Borut Robic, Theo Ungerer |
Processor architecture - from dataflow to superscalar and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1999 |
RDF |
|
17 | Lucian N. Vintan, Cristian Armat, Gordon B. Steven |
The impact of cache organisation on the instruction issue rate of a superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99, University of Madeira, Funchal, Portugal, February 3-5, 1999, pp. 58-65, 1999, IEEE Computer Society, 0-7695-0059-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Eric Rotenberg, Quinn Jacobson, James E. Smith 0001 |
A Study of Control Independence in Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 115-124, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Miroslav N. Velev, Randal E. Bryant |
Superscalar Processor Verification Using Efficient Reductions of the Logic of Equality with Uninterpreted Functions to Propositional Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 10th IFIP WG 10.5 Advanced Research Working Conference, CHARME '99, Bad Herrenalb, Germany, September 27-29, 1999, Proceedings, pp. 37-53, 1999, Springer, 3-540-66559-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Oleg Bessonov, Dominique Fougère, Ky Dang Quoc, Bernard Roux |
Methods for Achieving Peak Computational Rates for Linear Algebra Operations on Superscalar RISC Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 180-185, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Francisca Quintana, Jesús Corbal, Roger Espasa, Mateo Valero |
Adding a vector unit to a superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 13th international conference on Supercomputing, ICS 1999, Rhodes, Greece, June 20-25, 1999, pp. 1-10, 1999, ACM, 1-58113-164-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Omar Hammami |
Neural Network Classifiers Execution on Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, Second International Symposium, ISHPC'99, Kyoto, Japan, May 26-28, 1999, Proceedings, pp. 41-54, 1999, Springer, 3-540-65969-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Kanad Ghose, Milind B. Kamble |
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999, pp. 70-75, 1999, ACM, 1-58113-133-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
power estimation, low power caches |
17 | Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen |
Superscalar Processor Validation at the Microarchitecture Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India, pp. 300-305, 1999, IEEE Computer Society, 0-7695-0013-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh |
A Comparison of Scalable Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Eleventh Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA '99, Saint-Malo, France, June 27-30, 1999, pp. 126-137, 1999, ACM, 1-58113-124-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Sanjay J. Patel |
Trace cache design for wide-issue superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1999 |
RDF |
|
17 | Graham P. Jones |
The limits of a decoupled out-of-order superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1999 |
RDF |
|
17 | Hajime Kubosawa, Hiromasa Takahashi, Satoshi Ando, Yoshimi Asada, Akira Asato, Atsuhiro Suga, Michihide Kimura, Naoshi Higaki, Hideo Miyake, Tomio Sato, Hideaki Anbutsu, Toshitaka Tsuda, Tetsuo Yoshimura, Isao Amano, Mutsuaki Kai, Shin Mitarai |
A 1.2-W, 2.16-GOPS/720-MFLOPS embedded superscalar microprocessor for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 33(11), pp. 1640-1648, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Kazumasa Suzuki, Tomohisa Arai, Kouhei Nadehara, Ichiro Kuroda |
V830R/AV: embedded multimedia superscalar RISC processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 18(2), pp. 36-47, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Steven Wallace, Nader Bagherzadeh |
A scalable register file architecture for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 22(1), pp. 49-60, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | José González 0002, Antonio González 0001 |
Data value speculation in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 22(6), pp. 293-301, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Philip H. Sweany, Steve Carr 0001, Brett L. Huber |
Compiler Optimization for Superscalar Systems: Global Instruction Scheduling without Copies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Digit. Tech. J. ![In: Digit. Tech. J. 10(1), 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP BibTeX RDF |
|
17 | Venkata Krishnan, Josep Torrellas |
An Direct-Execution Framework for Fast and Accurate Simulation of Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 286-293, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Soner Önder, Rajiv Gupta 0001 |
Superscalar Execution with Direct Data Forwarding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 130-135, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Fred G. Gustavson, André Henriksson, Isak Jonsson, Bo Kågström, Per Ling |
Superscalar GEMM-based Level 3 BLAS - The On-going Evolution of a Portable and High-Performance Library. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARA ![In: Applied Parallel Computing, Large Scale Scientific and Industrial Problems, 4th International Workshop, PARA '98, Umeå, Sweden, June 14-17, 1998, Proceedings, pp. 207-215, 1998, Springer, 3-540-65414-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Joon-Seok Kim 0002, Sun Kook Yoo, Sung-Wook Park, Nam Hoon Jung, Woo-Suk Ko, Keun-Sup Lee, Dae Hee Youn |
4-way superscalar DSP processor for audio codec applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: Proceedings of the 1998 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP '98, Seattle, Washington, USA, May 12-15, 1998, pp. 3117-3120, 1998, IEEE, 0-7803-4428-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | J. Alcântara, V. C. Alves, E. Filho |
Designing the Dispatch Stage of a Superscalar Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 11th Annual Symposium on Integrated Circuits Design, SBCCI 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998, pp. 150-153, 1998, IEEE Computer Society, 978-0-8186-8704-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | M. Srinivas, Alexandru Nicolau |
Analyzing the Individual/Combined Effects of Speculative and Guarded Execution on a Superscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings, pp. 199-208, 1998, IEEE Computer Society, 0-8186-8403-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | S.-K. Cheng, R.-Ming Shiu, Jean Jyh-Jiun Shann |
Decoding Unit with High Issue Rate for X86 Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: International Conference on Parallel and Distributed Systems, ICPADS '98, Tainan, Taiwan, December 14-16, 1998, pp. 488-495, 1998, IEEE Computer Society, 0-8186-8603-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Mark Matson, Dan Bailey, Shane L. Bell, Larry L. Biro, Steve Butler, John Clouser, Jim Farrell, Mike Gowan, Donald A. Priore, Kathryn Wilcox |
Circuit implementation of a 600 MHz superscalar RISC microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: International Conference on Computer Design: VLSI in Computers and Processors, ICCD 1998, Proceedings, 5-7 October, 1998, Austin, TX, USA, pp. 104-110, 1998, IEEE Computer Society, 0-8186-9099-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Ing-Jer Huang, Tzu-Chin Peng |
Analysis of ×86 instruction set usage for DOS/Windows applications and its implication on superscalar design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: International Conference on Computer Design: VLSI in Computers and Processors, ICCD 1998, Proceedings, 5-7 October, 1998, Austin, TX, USA, pp. 566-573, 1998, IEEE Computer Society, 0-8186-9099-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Francisca Quintana, Roger Espasa, Mateo Valero |
An ISA Comparison Between Superscalar and Vector Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VECPAR ![In: Vector and Parallel Processing - VECPAR '98, Third International Conference, Porto, Portugal, June 21-23, 1998, Selected Papers and Invited Talks, pp. 548-560, 1998, Springer, 3-540-66228-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Chris Basoglu, Woobin Lee, Yongmin Kim 0001 |
An Efficient FFT Algorithm for Superscalar and VLIW Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Imaging ![In: Real Time Imaging 3(6), pp. 441-453, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Cliff A. Maier, James A. Markevitch, Cheryl Senter Brashears, Tim Sippel, Earl T. Cohen, Jim Blomgren, James G. Ballard, Jay Pattin, Viki Moldenhauer, Jeffrey A. Thomas, George Taylor |
A 533-MHz BiCMOS superscalar RISC microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 32(11), pp. 1625-1634, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Dezsö Sima |
Superscalar instruction issue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 17(5), pp. 28-39, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | José M. Pérez Villadeamigo, Santiago Rodríguez de la Fuente, Rafael Méndez Cavanillas, M. Isabel García Clemente |
The em88110: emulating a superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGCSE Bull. ![In: ACM SIGCSE Bull. 29(4), pp. 45-50, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Allen Leung, Krishna V. Palem, Cristian Ungureanu |
Run-Time versus Compile-Time Instruction Scheduling in Superscalar (RISC) Processors: Performance and Trade-Off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 45(1), pp. 13-28, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Gordon B. Steven, Bruce Christianson, Roger Collins, Richard D. Potter, Fleur L. Steven |
A superscalar architecture to exploit instruction level parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 20(7), pp. 391-400, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Isidoro Urriza, J. I. García, Denis Navarro |
Instruction issue system for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Fifth Euromicro Workshop on Parallel and Distributed Processing (PDP '97), January 22-24, 1997, University of Westminster, London, UK, pp. 108-115, 1997, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP BibTeX RDF |
|
17 | A. Richard Kennedy, Mike Alexander, Eric Fiene, Jose A. Lyon, Belli Kuttanna, Rajesh Patel, Mydung N. Pham, Michael Putrino, Cody Croxton, Suzanne Litch, Brad Burgess |
A G3 PowerPC™ superscalar low-power microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: Proceedings IEEE COMPCON 97, San Jose, California, USA, February 23-26, 1997, Digest of Papers, pp. 315-324, 1997, IEEE Computer Society, 0-8186-7804-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Prasenjit Biswas, Andy Freeman, Kouji Yamada, Norio Nakagawa, Kunio Uchiyama |
Functional verification of the superscalar SH-4 microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: Proceedings IEEE COMPCON 97, San Jose, California, USA, February 23-26, 1997, Digest of Papers, pp. 115-120, 1997, IEEE Computer Society, 0-8186-7804-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | James E. Bennett, Michael J. Flynn |
Prediction Caches for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 81-90, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer |
17 | Yuan C. Chou, Daniel P. Siewiorek, John Paul Shen |
A Realistic Study on Multithreaded Superscalar Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1092-1101, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Jongbok Lee, Wonyong Sung, Soo-Mook Moon |
An Enhanced Two-Level Adaptive Multiple Branch Prediction for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1053-1060, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Rong-Yuh Hwang |
An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 33-39, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC |
17 | Toni Juan, Juan J. Navarro, Olivier Temam |
Data Caches for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 11th international conference on Supercomputing, ICS 1997, Vienna, Austria, July 7-11, 1997, pp. 60-67, 1997, ACM, 0-89791-902-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Andrew Davey, David Lloyd |
An Evaluation of Asynchronous and Synchronous Design for Superscalar Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997, pp. 295-300, 1997, IEEE Computer Society, 0-8186-8206-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Shusuke Okamoto, Masahiro Sowa |
Intruction Fetch Mechanism for PN-Superscalar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1997, June 30 - July 3, 1997, Las Vegas, Nevada, USA, pp. 1406-1410, 1997, CSREA Press, 0-9648666-8-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP BibTeX RDF |
|
17 | Chung-Ho Chen, Akida Wu |
An enhanced DLX-based superscalar system simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCAE@HPCA ![In: Proceedings of the 1997 workshop on Computer architecture education, WCAE@HPCA 1997, San Antonio, Texas, USA, February 1997, pp. 5, 1997, ACM, 978-1-4503-4739-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Kyle L. Nelson, Alok Jain, Randal E. Bryant |
Formal Verification of a Superscalar Execution Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 161-166, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Nader Vasseghi, Kenneth Yeager, Egino Saito, Mahdi Seddighnezhad |
200-MHz superscalar RISC microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 31(11), pp. 1675-1686, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Fumio Murabayashi, Tatsumi Yamauchi, Hiromichi Yamada, Takahiro Nishiyama, Kotaro Shimamura, Shigeya Tanaka, Takashi Hotta, Teruhisa Shimizu, Hideo Sawamoto |
2.5 V CMOS circuit techniques for a 200 MHz superscalar RISC processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 31(7), pp. 972-980, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Kenneth C. Yeager |
The Mips R10000 superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 16(2), pp. 28-41, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | David H. Albonesi, Israel Koren |
A Mean Analysis Multiprocessor Model Incorporating Superscalar Processors and Latency Tolerating Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 24(3), pp. 235-264, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Martti Forsell |
Minimal pipeline architecture - an alternative to superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 20(5), pp. 277-284, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Chandrasekhar Narayanaswami 0001 |
Superscalar RISC machines, straight-line programs, and graphics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 12(3), pp. 117-131, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Straight-line coding, Branch reduction, Processor architecture, Graphics programs |
17 | M. Loikkanen, Nader Bagherzadeh |
A fine-grain multithreading superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996, pp. 163-168, 1996, IEEE Computer Society, 0-8186-7632-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | P. Tinumalai, Boris Beylin, Krishna Subramanian 0003 |
The design of a modulo scheduler for a superscalar RISC processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996, pp. 97-109, 1996, IEEE Computer Society, 0-8186-7632-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Manoj Franklin |
Incorporating fault tolerance in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 3rd International Conference on High Performance Computing, HIPC 1996, Proceedings, Trivandrum, India, 19-22 December, 1996, pp. 301-306, 1996, IEEE Computer Society, 0-8186-7557-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Allen Leung, Krishna V. Palem, Cristian Ungureanu |
Run-time versus compile-time instruction scheduling in superscalar (RISC) processors: performance and tradeoffs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 3rd International Conference on High Performance Computing, HIPC 1996, Proceedings, Trivandrum, India, 19-22 December, 1996, pp. 215-224, 1996, IEEE Computer Society, 0-8186-7557-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Harvey J. Wasserman |
Benchmark Tests on the Digital Equipment Corporation Alpha AXP 21164-based AlphaServer 8400, Including a Comparison of Optimized Vector and Superscalar Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 10th international conference on Supercomputing, ICS 1996, Philadelphia, PA, USA, May 25-28, 1996, pp. 333-340, 1996, ACM, 0-89791-803-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Bryan Black, Andrew S. Huang, Mikko H. Lipasti, John Paul Shen |
Can Trace-Driven Simulators Accurately Predict Superscalar Performance? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 478-485, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Thomas M. Conte, Mary Ann Hirsch, Kishore N. Menezes |
Reducing State Loss For Effective Trace Sampling of Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 468-477, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Anthony C. J. Fox, Neal A. Harman |
An Algebraic Model of Correctness for Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, First International Conference, FMCAD '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings, pp. 346-361, 1996, Springer, 3-540-61937-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | James J. Carrig Jr., Gerard G. L. Meyer |
A Three-Parameter Fast Givens QR Algorithm for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP, Vol. 2 ![In: Proceedings of the 1996 International Conference on Parallel Processing, ICCP 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 2: Algorithms & Applications., pp. 11-18, 1996, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Shusuke Okamoto, Masahiro Sowa |
Hybrid Processor Based on VLIW and PN-Superscalar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1996, August 9-11, 1996, Sunnyvale, California, USA, pp. 623-632, 1996, CSREA Press, 0-9648666-4-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
17 | Kenneth M. Wilson, Kunle Olukotun, Mendel Rosenblum |
Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 147-157, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Jerry R. Burch |
Techniques for Verifying Superscalar Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996., pp. 552-557, 1996, ACM Press, 0-89791-779-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Joe Circello, Greg Edgington, Dan McCarthy, James Gay, David Schimke, Steven Sullivan, Richard Duerden, Chris Hinds, Danny Marquette, Lal Sood, Al Crouch, Daniel Chow |
The superscalar architecture of the MC68060. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 15(2), pp. 10-21, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | John H. Edmondson, Paul I. Rubinfeld, Ronald P. Preston, Vidya Rajagopalan |
Superscalar instruction execution in the 21164 Alpha microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 15(2), pp. 33-43, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Christian Iseli, Eduardo Sanchez |
Spyder: A SURE (SUperscalar and REconfigurable) processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 9(3), pp. 231-252, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Pohua P. Chang, Daniel M. Lavery, Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu |
The Importance of Prepass Code Scheduling for Superscalar and Superpipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(3), pp. 353-370, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Steven Wallace, Nader Bagherzadeh |
Performance issues of a superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 19(4), pp. 187-199, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Michael Kantrowitz, Lisa M. Noack |
Functional Verification of a Multiple-issue, Pipelined, Superscalar Alpha Processor - the Alpha 21164 CPU Chip ![Search on Bibsonomy](Pics/bibsonomy.png) |
Digit. Tech. J. ![In: Digit. Tech. J. 7(1), 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
17 | Neng-Pin Lu, Chung-Ping Chung |
Memory System Design in Superscalar Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Speed Comput. ![In: Int. J. High Speed Comput. 7(3), pp. 421-443, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | James E. Smith, Gurindar S. Sohi |
The microarchitecture of superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. IEEE ![In: Proc. IEEE 83(12), pp. 1609-1624, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Ruey-Liang Ma, Chung-Ping Chung |
Periodic Adaptive Branch Prediction and its Application in Superscalar Processing in Prolog. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 38(6), pp. 457-470, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Chikako Nakanishi, Hideki Ando, Hirohisa Machida, Masao Nakaya |
Code scheduling on a superscalar processor: SARCH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Comput. Jpn. ![In: Syst. Comput. Jpn. 26(9), pp. 13-22, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Wayne Yamamoto, Mario Nemirovsky |
Increasing superscalar performance through multistreaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, PACT '95, Limassol, Cyprus, June 27-29, 1995, pp. 49-58, 1995, IFIP Working Group on Algol / ACM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
17 | David H. Albonesi, Israel Koren |
An analytical model of high performance superscalar-based multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, PACT '95, Limassol, Cyprus, June 27-29, 1995, pp. 194-203, 1995, IFIP Working Group on Algol / ACM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
17 | David Levitan, Thomas Thomas, Paul Tu |
The PowerPC 620 Microprocessor: A High Performance Superscalar RISC Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: COMPCON '95: Technologies for the Information Superhighway, Digest of Papers, San Francisco, California, USA, March 5-9, 1995, pp. 285-291, 1995, IEEE Computer Society, 0-8186-7029-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Dale Greenley, J. Bauman, D. Chang, Dennis Chen, R. Eltejaein, Philip A. Ferolito, P. Fu, Robert B. Garner, D. Greenhill, H. Grewal, Kalon Holdbrook, B. Kim, Leslie Kohn, Hang Kwan, M. Levitt, Guillermo Maturana, D. Mrazek, Chitresh Narasimhaiah, Kevin Normoyle, N. Parveen, P. Patel, A. Prabhu, Marc Tremblay, Michelle Wong, L. Yang, Krishna Yarlagadda, Robert K. Yu, Robert Yung, Gregory B. Zyner |
UltraSPARC: The Next Generation Superscalar 64-bit SPARC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: COMPCON '95: Technologies for the Information Superhighway, Digest of Papers, San Francisco, California, USA, March 5-9, 1995, pp. 442-451, 1995, IEEE Computer Society, 0-8186-7029-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Trung A. Diep, John Paul Shen |
Systematic Validation of Pipeline Interlock for Superscalar Microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-25, The Twenty-Fifth International Symposium on Fault-Tolerant Computing, Pasadena, California, USA, June 27-30, 1995, pp. 100-109, 1995, IEEE Computer Society, 0-8186-7079-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Nirmal R. Saxena, Chien Chen, Ravi Swami, Hideki Osone, Shalesh Thusoo, David Lyon, David Chang, Anand Dharmaraj, Niteen Patkar, Yizhi Lu, Ben Chia |
Error Detection and Handling in a Superscalar, Speculative Out-of-Order Execution Processor System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-25, The Twenty-Fifth International Symposium on Fault-Tolerant Computing, Pasadena, California, USA, June 27-30, 1995, pp. 464-471, 1995, IEEE Computer Society, 0-8186-7079-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Luis A. Lozano, Guang R. Gao |
Exploiting short-lived variables in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995, pp. 292-302, 1995, ACM / IEEE Computer Society, 0-8186-7349-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Simonjit Dutta, Manoj Franklin |
Control flow prediction with tree-like subgraphs for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995, pp. 258-263, 1995, ACM / IEEE Computer Society, 0-8186-7349-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Subbarao Palacharla, James E. Smith 0001 |
Decoupling integer execution in superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995, pp. 285-290, 1995, ACM / IEEE Computer Society, 0-8186-7349-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Shlomo Weiss |
Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture (HPCA 1995), Raleigh, North Carolina, USA, January 22-25, 1995, pp. 14-21, 1995, IEEE Computer Society, 0-8186-6445-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Rastislav Bodík, Rajiv Gupta 0001 |
Array Data Flow Analysis for Load-Store Optimizations in Superscalar Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 8th International Workshop, LCPC'95, Columbus, Ohio, USA, August 10-12, 1995, Proceedings, pp. 1-15, 1995, Springer, 3-540-60765-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Manoj Franklin |
A study of time redundant fault tolerance techniques for superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 1995, Lafayette, LA, USA, November 13-15, 1995, pp. 207-215, 1995, IEEE Computer Society, 0-8186-7107-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Miroslav Tuma, Miroslav Rozlozník |
On the Efficiency of Superscalar and Vector Computer for some Problems in Scientif Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFSEM ![In: SOFSEM '95, 22nd Seminar on Current Trends in Theory and Practice of Informatics, Milovy, Czech Republic, November 23 - December 1, 1995, Proceedings, pp. 481-486, 1995, Springer, 3-540-60609-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Roger Collins |
Exploiting instruction-level parallelism in superscalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1995 |
RDF |
|
17 | Shigeya Tanaka, Takashi Hotta, Fumio Murabayashi, Hiromichi Yamada, Shoji Yoshida, Kotaro Shimamura, Koyo Katsura, Tadaaki Bandoh, Koichi Ikeda, Kenji Matsubara, Kouji Saitou, Tetsuo Nakano, Teruhisa Shimizu, Ryuichi Satomura |
A 120-MHz BiCMOS superscalar RISC processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 29(4), pp. 389-396, April 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Gianfranco Gerosa, Sonya Gary, Carl Dietz, Dac Pham, Kathy Hoover, Jose Alvarez, Hector Sanchez, Pete Ippolito, Tai Ngo, Suzanne Litch, Jim Eno, Jim Golab, Neil Vanderschaaf, Jim Kahle |
A 2.2 W, 80 MHz superscalar RISC microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 29(12), pp. 1440-1454, December 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Robert F. Krick, Lawrence T. Clark, Daniel J. Deleganes, Keng L. Wong, Roshan Fernando, Goutam Debnath, Jashojiban Banik |
A 150 MHz 0.6 μm BiCMOS superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 29(12), pp. 1455-1463, December 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | John Lenell, Nader Bagherzadeh |
A performance comparison of several superscalar processor models with a VLIW processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 18(3), pp. 131-139, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|