The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Chirag S. Patel, Sek M. Chai, Sudhakar Yalamanchili, David E. Schimmel Power/Performance Trade-offs for Direct Networks. Search on Bibsonomy PCRCW The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Yanbing Li, Wayne H. Wolf Hierarchical scheduling and allocation of multirate systems on heterogeneous multiprocessors. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Donald Yeung, John Kubiatowicz, Anant Agarwal MGS: A Multigrain Shared Memory System. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Phu Hoang, Jan M. Rabaey A CAD environment for Real-time DSP implementations on multiprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Robert Cooper Experience with Causally and Totally Ordered Communication Support, A cautionary tale. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Athar B. Tayyab, Jon G. Kuhl Stochastic Performance Models of Parallel Task Systems. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Agustín Fernández, José M. Llabería, Juan J. Navarro, Miguel Valero-García Interleaving Partitions of Systolic Algorithms for Programming Distributed Memory Multiprocessors. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Mark Crovella, Prakash Das, Cezary Dubnicki, Thomas J. LeBlanc, Evangelos P. Markatos Multiprogramming on multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Raj Vaswani, John Zahorjan The Implications of Cache Affinity on Processor Scheduling for Multiprogrammed, Shared Memory Multiprocessors. Search on Bibsonomy SOSP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Jürgen Brehm, Ansgar Böhm, Jens Volkert Sparse Matrix Algorithms for SUPRENUM. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Michael Moser The ELAN Performance Analysis Environment. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Kaoru Hosokawa, Hiroaki Nakamura, Tsutomu Kamimura Concurrent Programming in COB. Search on Bibsonomy Concurrency: Theory, Language, And Architecture The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Edward H. Bensley, Thomas J. Brando, J. C. Fohlin, Myra Jean Prelle, Ann Wollrath MITRE's future generation computer architectures program. Search on Bibsonomy OOPSLA/ECOOP Workshop on Object-based Concurrent Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Hemant Kanakia, David R. Cheriton The VMP network adapter board (NAB): high-performance network communication for multiprocessors. Search on Bibsonomy SIGCOMM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Mark D. Guzzi, Jay P. Hoeflinger, David A. Padua, Duncan H. Lawrie Cedar Fortran and other Vector and parallel Fortran dialects. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF FORTRAN
15R. E. Buehrer A High Performance Interconnection Concept for Dataflow - or Other Closely Coupled Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Edward H. Frank Exploiting parallelism in a switch-level simulation machine. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Neil Wilhelm, David Pessel, Charles Merriam The CERF computer system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
15Jian-Jia Chen, Lothar Thiele Energy-efficient scheduling on homogeneous multiprocessor platforms. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF static power consumption, dynamic voltage scaling, real-time scheduling, task partitioning
15Oren Laadan, Nicolas Viennot, Jason Nieh Transparent, lightweight application execution replay on commodity multiprocessor operating systems. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF record-replay, fault-tolerance, debugging, virtualization
15Takeshi Ogasawara Scalability limitations when running a Java web server on a chip multiprocessor. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, multi-cores, JVMs, web servers
15Jishen Zhao, Xiangyu Dong, Yuan Xie 0001 Cost-aware three-dimensional (3D) many-core multiprocessor design. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC design, many-core processor design, cost modeling
15 Multiprocessor Database Management. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15 Multiprocessor Data Placement. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15 Multiprocessor Query Processing. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Nathan Fisher, Sanjoy K. Baruah The feasibility of general task systems with precedence constraints on multiprocessor platforms. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Recurrent task models, Resource-augmentation analysis, Schedulability analysis, Earliest-deadline-first scheduling, Feasibility analysis
15Kamilla Klonowska, Lars Lundberg, Håkan Lennerstad The maximum gain of increasing the number of preemptions in multiprocessor scheduling. Search on Bibsonomy Acta Informatica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Shuyi Shao, Alex K. Jones, Rami G. Melhem Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Lee Kee Goh, Bharadwaj Veeravalli, Sivakumar Viswanathan Design of Fast and Efficient Energy-Aware Gradient-Based Scheduling Algorithms Heterogeneous Embedded Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hyeonjoong Cho, Binoy Ravindran, Chewoo Na Garbage Collector Scheduling in Dynamic, Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Jaejin Lee, Changhee Jung, Daeseob Lim, Yan Solihin Prefetching with Helper Threads for Loosely Coupled Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Mainak Chaudhuri PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Susmit Sarkar, Peter Sewell, Francesco Zappa Nardelli, Scott Owens, Tom Ridge, Thomas Braibant, Magnus O. Myreen, Jade Alglave The semantics of x86-CC multiprocessor machine code. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
15Jade Alglave, Anthony C. J. Fox, Samin Ishtiaq, Magnus O. Myreen, Susmit Sarkar, Peter Sewell, Francesco Zappa Nardelli The semantics of power and ARM multiprocessor machine code. Search on Bibsonomy DAMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semantics, powerpc, arm, relaxed memory models
15Hiroaki Nakata, Koji Hosogi, Masakazu Ehama, Takafumi Yuasa, Toru Fujihira, Kenichi Iwata, Motoki Kimura, Fumitaka Izuhara, Seiji Mochizuki, Masaki Nobori Development of full-HD multi-standard video CODEC IP based on heterogeneous multiprocessor architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi Dynamically reconfigurable on-chip communication architectures for multi use-case chip multiprocessor applications. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Andreas Karrenbauer, Thomas Rothvoß An Average-Case Analysis for Rate-Monotonic Multiprocessor Real-Time Scheduling. Search on Bibsonomy ESA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yelena Rykalova, Lev B. Levitin, Richard Brower Multiprocessor networks with small buffers: theory and simulation. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF critical phenomena in networks, network saturation, latency, queueing networks, supercomputers, network performance
15Harold Ishebabi, Philipp Mahr, Christophe Bobda, Martin Gebser, Torsten Schaub Application of ASP for Automatic Synthesis of Flexible Multiprocessor Systems from Parallel Programs. Search on Bibsonomy LPNMR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Antonino Tumeo, Simone Borgio, Davide Bosisio, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto A multiprocessor self-reconfigurable JPEG2000 encoder. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Martin Schoeberl, Peter P. Puschner, Raimund Kirner A Single-Path Chip-Multiprocessor System. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yu Wang 0002, Jiang Xu 0001, Shengxi Huang, Weichen Liu, Huazhong Yang A case study of on-chip sensor network in multiprocessor system-on-chip. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor network, reliability, low-power, system on chip, dynamic control, power grid noise
15Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor Applications. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ho-Leung Chan, Jeff Edmonds, Kirk Pruhs Speed scaling of processes with arbitrary speedup curves on a multiprocessor. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, speed scaling
15Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda, Toshio Isomura, Kazuo Satou Trace-driven workload simulation method for Multiprocessor System-On-Chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MPSoC architecture exploration, simulation, performance estimation, workload model
15Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Shiyuan Jin, Guy A. Schiavone, Damla Turgut A performance study of multiprocessor task scheduling algorithms. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel computing, Task scheduling, Heuristic algorithms, Communication delay
15Tongquan Wei, Piyush Mishra, Kaijie Wu 0001, Han Liang Fixed-Priority Allocation and Scheduling for Energy-Efficient Fault Tolerance in Hard Real-Time Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wayne H. Wolf, Ahmed Amine Jerraya, Grant Martin Multiprocessor System-on-Chip (MPSoC) Technology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hyunjin Kim, Hyejeong Hong, Hong-Sik Kim, Jin-Ho Ahn, Sungho Kang 0001 Total Energy Minimization of Real-Time Tasks in an On-Chip Multiprocessor Using Dynamic Voltage Scaling Efficiency Metric. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Andreas Larsson, Anders Gidenstam, Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Multiword atomic read/write registers on multiprocessor systems. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, wait-free, Atomic register
15Stanley P. Y. Fung, Chung Keung Poon, Feifeng Zheng Online interval scheduling: randomized and multiprocessor cases. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scheduling, Online algorithms, Randomization, Intervals
15Nan Guan, Zonghua Gu 0001, Mingsong Lv, Qingxu Deng, Ge Yu 0001 Schedulability Analysis of Global Fixed-Priority or EDF Multiprocessor Scheduling with Symbolic Model-Checking. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Schedulability, real-time scheduling, symbolic model-checking
15Aaron Block, Björn B. Brandenburg, James H. Anderson, Stephen Quint An Adaptive Framework for Multiprocessor Real-Time System. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hennadiy Leontyev, James H. Anderson A Hierarchical Multiprocessor Bandwidth Reservation Scheme with Timing Guarantees. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hui Liu 0006, Zili Shao, Meng Wang 0005, Ping Chen Overhead-Aware System-Level Joint Energy and Performance Optimization for Streaming Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alexey N. Salnikov, Dmitry Y. Andreev An MPI-Based System for Testing Multiprocessor and Cluster Communications. Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Fabrizio Mulas, Michele Pittau, Marco Buttu, Salvatore Carta, Andrea Acquaviva, Luca Benini, David Atienza, Giovanni De Micheli Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Arno Moonen, Marco Bekooij, René van den Berg, Jef L. van Meerbergen Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nicolas Coste, Hubert Garavel, Holger Hermanns, Richard Hersemeule, Yvain Thonnart, Meriem Zidouni Quantitative Evaluation in Embedded System Design: Validation of Multiprocessor Multithreaded Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Parth Malani, Prakash Mukre, Qinru Qiu, Qing Wu 0002 Adaptive Scheduling and Voltage Scaling for Multiprocessor Real-time Applications with Non-deterministic Workload. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ofer Shacham, Megan Wachs, Alex Solomatnikov, Amin Firoozshahian, Stephen Richardson, Mark Horowitz Verification of chip multiprocessor memory systems using a relaxed scoreboard. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vincenzo Bonifaci, Alberto Marchetti-Spaccamela, Sebastian Stiller A Constant-Approximate Feasibility Test for Multiprocessor Real-Time Scheduling. Search on Bibsonomy ESA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mahmoud Hasanloo, Ali Amiri 0002, Mahmood Fathy A High Performance Parallel IP Lookup Technique Based on Multiprocessor Organization and CREW PRAM. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel Processing, IP lookup, Router Architecture
15Vincent Nélis, Joël Goossens, Raymond R. Devillers, Dragomir Milojevic, Nicolas Navet Power-Aware Real-Time Scheduling upon Identical Multiprocessor Platforms. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessors real-time scheduling, Power-aware scheduling, Energy-aware scheduling
15Tjerk Bijlsma, Marco Bekooij, Pierre G. Jansen, Gerard J. M. Smit Communication between nested loop programs via circular buffers in an embedded multiprocessor system. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Haris Javaid, Sri Parameswaran Synthesis of heterogeneous pipelined multiprocessor systems using ILP: jpeg case study. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design space exploration, integer linear programming, MPSoCs
15Shane Santner, Wesley Peck, Jason Agron, David Andrews 0001 Symmetric Multiprocessor Design for Hybrid CPU/FPGA SoCs. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Elias Teodoro Silva Jr., Daniel Barcelos, Flávio Rech Wagner, Carlos Eduardo Pereira A virtual platform for multiprocessor real-time embedded systems. Search on Bibsonomy JTRES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time systems, energy efficiency, network on chip, embedded applications
15Konstantinos M. Giannoutakis, George A. Gravvanis Parallel Approximate Finite Element Inverses on Symmetric Multiprocessor Systems. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Björn Andersson Global Static-Priority Preemptive Multiprocessor Scheduling with Utilization Bound 38%. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Joël Goossens, Dragomir Milojevic, Vincent Nélis Power-Aware Real-Time Scheduling upon Dual CPU Type Multiprocessor Platforms. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jun Yang, Xiaochuan Ma, Chaohuan Hou, Zheng Yao A Static Multiprocessor Scheduling Algorithm for Arbitrary Directed Task Graphs in Uncertain Environments. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithm, scheduling, parallel processing, stochastic programming
15Xin Jin 0003, Stephen B. Furber, John V. Woods Efficient modelling of spiking neural networks on a scalable chip multiprocessor. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jörg Dümmler, Thomas Rauber, Gudula Rünger Mapping Algorithms for Multiprocessor Tasks on Multi-Core Clusters. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Benfano Soewito, Ning Weng Methodology for evaluating string matching algorithms on multiprocessor. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nitin Godiwala, Jud Leonard, Matthew Reilly A Network Fabric for Scalable Multiprocessor Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF networks, MPI, multiprocessors, message passing, fabric, kautz graphs
15Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hiroaki Shikano, Jun Shirako, Yasutaka Wada, Keiji Kimura, Hironori Kasahara Power-Aware Compiler Controllable Chip Multiprocessor. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Holger Blume, Jörg von Livonius, Lisa Rotenberg, Tobias G. Noll, Harald Bothe, Jörg Brakensiek Performance and Power Analysis of Parallelized Implementations on an MPCore Multiprocessor Platform. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Nathan Fisher, Sanjoy K. Baruah The Global Feasibility and Schedulability of General Task Models on Multiprocessor Platforms. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Parth Malani, Prakash Mukre, Qinru Qiu Power optimization for conditional task graphs in DVS enabled multiprocessor systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Orlando Moreira, Jacob Jan-David Mol, Marco Bekooij Online resource management in a multiprocessor with a network-on-chip. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessors-on-chip, real-time systems, networks-on-chip
15Hazem Moussa, Olivier Muller, Amer Baghdadi, Michel Jézéquel Butterfly and benes-based on-chip communication networks for multiprocessor turbo decoding. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Meikang Qiu, Chun Xue, Zili Shao, Edwin Hsing-Mean Sha Energy minimization with soft real-time and DVS for uniprocessor and multiprocessor embedded systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Makoto Sugihara, Tohru Ishihara, Kazuaki J. Murakami Task scheduling for reliable cache architectures of multiprocessor systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Manoj Gupta, Mayank Gupta, Neeraj Goel, M. Balaksrishnan Energy Based Design Space Exploration of Multiprocessor VLIW Architectures. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ismail Assayad, Sergio Yovine P-Ware: A precise and scalable component-based simulation tool for embedded multiprocessor industrial applications. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Colby Ranger, Ramanan Raghuraman, Arun Penmetsa, Gary R. Bradski, Christos Kozyrakis Evaluating MapReduce for Multi-core and Multiprocessor Systems. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yusen Li, Feng Wang, Gang Wang 0001, Xiaoguang Liu 0001, Jing Liu 0010 MKtrace: An Innovative Debugging Tool for Multi-Threaded Programs on Multiprocessor Systems. Search on Bibsonomy APSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Takashi Furukawa, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada A Hardware/Software Cosimulator with RTOS Supports for Multiprocessor Embedded Systems. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ITRON, Embedded Systems, Multiprocessors, RTOS, Cosimulation
15Yelena Rykalova, Lev B. Levitin, Richard Brower Performance model of a multiprocessor interconnection network: theory and simulation. Search on Bibsonomy SpringSim (1) The full citation details ... 2007 DBLP  BibTeX  RDF critical phenomena in networks, network saturation, latency, queueing networks, supercomputers, network performance
15Soyeon Park, Weihang Jiang, Yuanyuan Zhou 0001, Sarita V. Adve Managing energy-performance tradeoffs for multithreaded applications on multiprocessor architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy and performance tradeoffs, memory energy management, multithreaded applications, low power design
15Christophe Bobda, Thomas Haller, Felix Mühlbauer, Dennis Rech, Simon Jung Design of adaptive multiprocessor on chip systems. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, reconfigurability, MPSoC, NoC
15Yurong Chen 0001, Wei Wei, Yimin Zhang 0002 Parallel Audio Quick Search on Shared-Memory Multiprocessor Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez A Reconfigurable Chip Multiprocessor Architecture to Accommodate Software Diversity. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Inbal Yahav, Louiqa Raschid, Henrique Andrade Bid based scheduler with backfilling for a multiprocessor system. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bid-based scheduler, strategic users
15Nan Guan, Zonghua Gu 0001, Qingxu Deng, Shuaihong Gao, Ge Yu 0001 Exact Schedulability Analysis for Static-Priority Global Multiprocessor Scheduling Using Model-Checking. Search on Bibsonomy SEUS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Orlando Moreira, Frederico Valente, Marco Bekooij Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, real-time, dataflow, multi-processor
Displaying result #701 - #800 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license