The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Lin Cheng 0001, Wing-Hung Ki, Tak-Sang Yim A 13.56 MHz on/off delay-compensated fully-integrated active rectifier for biomedical wireless power transfer systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Zuomin Zhu, Vivek Chaturvedi, Amit Kumar Singh 0002, Wei Zhang 0012, Yingnan Cui Two-stage thermal-aware scheduling of task graphs on 3D multi-cores exploiting application and architecture characteristics. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Archit Gupta, Tianshi Wang, Ahmet Mahmutoglu Gokcen, Jaijeet Roychowdhury STEAM: Spline-based tables for efficient and accurate device modelling. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Li-Pin Chang, Chia-Hsiang Cheng, Kai-Hsiang Lin A flash scheduling strategy for current capping in multi-power-mode SSDs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Marta Ortín-Obón, Luca Ramini, Víctor Viñals Yúfera, Davide Bertozzi A tool for synthesizing power-efficient and custom-tailored wavelength-routed optical rings. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Korkut Kaan Tokgoz, Shotaro Maki, Seitarou Kawai, Noriaki Nagashima, Yoichi Kawano, Toshihide Suzuki, Taisuke Iwai, Kenichi Okada, Akira Matsuzawa W-band ultra-high data-rate 65nm CMOS wireless transceiver. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ho Hyun Shin, Hyeokjun Seo, Byunghoon Lee, Jeongbin Kim 0001, Eui-Young Chung Timing window wiper: A new scheme for reducing refresh power of DRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hiroyuki Tsuchiya, Asato Uchiyama, Yuta Misima, Yuki Watanabe, Tatsuji Matsuura, Hao San, Masao Hotta Non-binary cyclic ADC with correlated level shifting technique. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yibin Tang, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jan Malburg, Tino Flenker, Görschwin Fey Property mining using dynamic dependency graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yi Wang 0003, Mingxu Zhang, Jing Yang 0018 Temperature-aware data allocation strategy for 3D charge-trap flash memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Lei Yang 0018, Weichen Liu, Nan Guan, Mengquan Li, Peng Chen 0027, Edwin Hsing-Mean Sha Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Tim Schmidt, Guantao Liu, Rainer Dömer Hybrid analysis of SystemC models for fast and accurate parallel simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kun-Lin Lin, Shao-Yun Fang Guiding template-aware routing considering redundant via insertion for directed self-assembly. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Atsuki Kobayashi, Kei Ikeda, Yudai Ogawa, Matsuhiko Nishizawa, Kazuo Nakazato, Kiichi Niitsu Design of an energy-autonomous bio-sensing system using a biofuel cell and 0.19V 53μW integrated supply-sensing sensor with a supply-insensitive temperature sensor and inductive-coupling transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Katsumi Okuda, Minoru Yoshida, Haruhiko Takeyama, Minoru Nakamura Automated generation of dynamic binary translators for instruction set simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ji Li 0006, Ao Ren, Zhe Li 0001, Caiwen Ding, Bo Yuan 0001, Qinru Qiu, Yanzhi Wang Towards acceleration of deep convolutional neural networks using stochastic computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Dongsheng Yang 0002, Wei Deng 0001, Bangan Liu, Aravind Tharayil Narayanan, Teerachot Siriburanon, Kenichi Okada, Akira Matsuzawa An HDL-synthesized injection-locked PLL using LC-based DCO for on-chip clock generation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yijin Guan, Zhihang Yuan, Guangyu Sun 0003, Jason Cong FPGA-based accelerator for long short-term memory recurrent neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yosuke Ishikawa, Sho Ikeda, Hiroyuki Ito, Akifumi Kasamatsu, Takayoshi Obara, Naoki Noguchi, Koji Kamisuki, Yao Jiyang, Shinsuke Hara, Ruibing Dong, Shiro Dosho, Noboru Ishihara, Kazuya Masu Design of high-frequency piezoelectric resonator-based cascaded fractional-N PLL with sub-ppb-order channel adjusting technique. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Heinz Riener, Rüdiger Ehlers, Görschwin Fey CEGAR-based EF synthesis of Boolean functions with an application to circuit rectification. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Aosen Wang, Chi Zhou 0004, Zhanpeng Jin, Wenyao Xu Towards scalable and efficient GPU-enabled slicing acceleration in continuous 3D printing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yandan Wang, Wei Wen, Linghao Song, Hai Helen Li Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yoichi Tomioka, Tetsuaki Matsunawa, Chikaaki Kodama, Shigeki Nojima Lithography hotspot detection by two-stage cascade classifier using histogram of oriented light propagation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Fan Lin, Kwang-Ting Cheng An artificial neural network approach for screening test escapes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Varsha Agarwal, Ananya Singla, Mahammad Samiuddin, Sudip Roy 0001, Tsung-Yi Ho, Indranil Sengupta 0001, Bhargab B. Bhattacharya Reservoir and mixer constrained scheduling for sample preparation on digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Fan Lan, Rui Wu 0008, Chong Zhang, Yun Pan, Kwang-Ting (Tim) Cheng DLPS: Dynamic laser power scaling for optical Network-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yang You, Jie Gu Exploiting accelerated aging effect for on-line configurability and hardware tracking. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Parit Kanjanavirojkul, Nguyen Ngoc Mai Khanh, Tetsuya Iizuka, Toru Nakura, Kunihiro Asada CMOS-on-quartz pulse generator for low power applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Cheng Wang, Ying Wang 0001, Yinhe Han 0001, Lili Song, Zhenyu Quan, Jiajun Li, Xiaowei Li 0001 CNN-based object detection solutions for embedded heterogeneous multicore SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hyeon Uk Sim, Dong Nguyen 0001, Jongeun Lee, Kiyoung Choi Scalable stochastic-computing accelerator for convolutional neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, John Sampson, Yuan Xie 0001, Vijaykrishnan Narayanan Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Guan-Ruei Lu, Guan-Ming Huang, Ansuman Banerjee, Bhargab B. Bhattacharya, Tsung-Yi Ho, Hung-Ming Chen On reliability hardening in cyber-physical digital-microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yi Lin, Po-Chun Huang, Duo Liu, Liang Liang 0002 Scalable frequent-pattern mining on nonvolatile memories. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chun-Che Chung, Yung-Chih Chen, Chun-Yao Wang, Chia-Cheng Wu Majority logic circuits optimisation by node merging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Lin Cheng 0001, Wing-Hung Ki, Chi-Ying Tsui A wireless power receiver with a 3-level reconfigurable resonant regulating rectifier for mobile-charging applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Xiaokun Yang, Wujie Wen Design of a pre-scheduled data bus for advanced encryption standard encrypted system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Zhongming Chen, Kim Batselier, Haotian Liu, Ngai Wong An efficient homotopy-based Poincaré-Lindstedt method for the periodic steady-state analysis of nonlinear autonomous oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Mio Tsukahara, Shintaro Izumi, Motofumi Nakanishi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto, Hiromitsu Kimura, Kyoji Marumoto, Takaaki Fuchikami, Yoshikazu Fujimori A 19-μA metabolic equivalents monitoring SoC using adaptive sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chung-Yu Wu, Cheng-Hsiang Cheng, Yi-Huan Ou-Yang, Chiung-Ghu Chen, Wei-Ming Chen, Ming-Dou Ker, Chen-Yi Lee, Sheng-Fu Liang, Fu-Zen Shaw Design considerations and clinical applications of closed-loop neural disorder control SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Xiao Yang, Hongbo Zhu, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada A 15 × 15 SPAD array sensor with breakdown-pixel-extraction architecture for efficient data readout. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hussam Amrouch, Jörg Henkel Containing guardbands. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25John Adler, Ryan Berryhill, Andreas G. Veneris An extensible perceptron framework for revision RTL debug automation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Xuechao Wei, Yun Liang 0001, Tao Wang 0004, Songwu Lu, Jason Cong Throughput optimization for streaming applications on CPU-FPGA heterogeneous systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yu-Guang Chen, Michihiro Shintani, Takashi Sato, Yiyu Shi 0001, Shih-Chieh Chang Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kuo-Kai Hsieh, Sebastian Siatkowski, Li-C. Wang, Wen Chen 0016, Jayanta Bhadra Feature extraction from design documents to enable rule learning for improving assertion coverage. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Md Tanvir Arafin, Mingze Gao, Gang Qu 0001 VOLtA: Voltage over-scaling based lightweight authentication for IoT applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jun Ohta Smart electrode - toward a retinal stimulator with the large number of electrodes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Tianqi Tang 0001, Lixue Xia, Boxun Li, Yu Wang 0002, Huazhong Yang Binary convolutional neural network on RRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kristof Blutman, Hamed Fatemi, Andrew B. Kahng, Ajay Kapoor, Jiajia Li 0002, José Pineda de Gyvez Floorplan and placement methodology for improved energy reduction in stacked power-domain design. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Biruk Mammo, Doowon Lee, Harrison Davis, Yijun Hou, Valeria Bertacco AGARSoC: Automated test and coverage-model generation for verification of accelerator-rich SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yi Wu, Chuyu Shen, Yi Jia, Weikang Qian Approximate logic synthesis for FPGA by wire removal and local function change. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chenchen Liu, Qing Yang 0011, Chi Zhang, Hao Jiang 0014, Qing Wu 0002, Hai Helen Li A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ao Ren, Sijia Liu 0001, Ruizhe Cai, Wujie Wen, Pramod K. Varshney, Yanzhi Wang Algorithm-hardware co-optimization of the memristor-based framework for solving SOCP and homogeneous QCQP problems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jiangyuan Gu, Shouyi Yin, Leibo Liu, Shaojun Wei Energy-aware loops mapping on multi-vdd CGRAs without performance degradation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kenneth Schmitz, Arun Chandrasekharan, Jonas Gomes Filho, Daniel Große, Rolf Drechsler Trust is good, control is better: Hardware-based instruction-replacement for reliable processor-IPs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Nicole Fern, Ismail San, Kwang-Ting (Tim) Cheng Detecting hardware Trojans in unspecified functionality through solving satisfiability problems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata An FPGA-compatible PLL-based sensor against fault injection attack. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Luca Gaetano Amarù, Mathias Soeken, Winston Haaswijk, Eleonora Testa, Patrick Vuillod, Jiong Luo, Pierre-Emmanuel Gaillardon, Giovanni De Micheli Multi-level logic benchmarks: An exactness study. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Meng Liu 0001, Matthias Becker 0004, Moris Behnam, Thomas Nolte A tighter recursive calculus to compute the worst case traversal time of real-time traffic over NoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Jingyang Zhu, Zhiliang Qian, Chi-Ying Tsui BHNN: A memory-efficient accelerator for compressing deep neural networks with blocked hashing techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kohei Gamo, Kazuo Nakazato, Kiichi Niitsu A current-integration-based CMOS amperometric sensor with 1.2 μm × 2.05 μm electroless-plated microelectrode array for high-sensitivity bacteria counting. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Zihao Liu, Wujie Wen, Lei Jiang 0001, Yier Jin, Gang Quan A statistical STT-RAM retention model for fast memory subsystem designs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chia-Ling Chen, Yen-Hao Chen, TingTing Hwang Communication driven remapping of processing element (PE) in fault-tolerant NoC-based MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Qin Wang 0005, Shiliang Zuo, Hailong Yao, Tsung-Yi Ho, Bing Li 0005, Ulf Schlichtmann, Yici Cai Hamming-distance-based valve-switching optimization for control-layer multiplexing in flow-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Gustavo K. Contreras, Adib Nahiyan, Swarup Bhunia, Domenic Forte, Mark M. Tehranipoor Security vulnerability analysis of design-for-test exploits for asset protection in SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Tzu-Hsuan Huang, Wei-Tse Hung, Hao-Yu Yang, Wen-Hsiang Chang, Ying-Yen Chen, Chun-Yi Kuo, Jih-Nung Lee, Mango C.-T. Chao Predicting Vt variation and static IR drop of ring oscillators using model-fitting techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Arvind Easwaran, Anupam Chattopadhyay, Shivam Bhasin A systematic security analysis of real-time cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Tuotian Liao, Lihong Zhang Parasitic-aware GP-based many-objective sizing methodology for analog and RF integrated circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hui Zhang, Bo Wang A time domain behavioral model for oscillators considering flicker noise. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Francesco Regazzoni 0001, Ilia Polian Securing the hardware of cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Liu Liu 0017, Ping Chi, Shuangchen Li, Yuanqing Cheng, Yuan Xie 0001 Building energy-efficient multi-level cell STT-RAM caches with data compression. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Dharanidhar Dang, Sai Vineel Reddy Chittamuru, Rabi N. Mahapatra, Sudeep Pasricha Islands of heaters: A novel thermal management framework for photonic NoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yangguo Liu, Junlin Lu, Dong Tong 0001, Xu Cheng 0001 Locality-aware bank partitioning for shared DRAM MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Sujit Rokka Chhetri, Jiang Wan, Mohammad Abdullah Al Faruque Cross-domain security of cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Robert Karam, Tamzidul Hoque, Sandip Ray, Mark M. Tehranipoor, Swarup Bhunia MUTARCH: Architectural diversity for FPGA device and IP security. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kassan Unda, Chung-Han Chou, Shih-Chieh Chang, Cheng Zhuo, Yiyu Shi 0001 CN-SIM: A cycle-accurate full system power delivery noise simulator. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Chao-Hung Wang, Yen-Yi Wu, Jianli Chen, Yao-Wen Chang, Sy-Yen Kuo, Wenxing Zhu, Genghua Fan An effective legalization algorithm for mixed-cell-height standard cells. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, Jeyavijayan Rajendran Security analysis of Anti-SAT. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Youngchan Kim, Taewhan Kim Algorithm for synthesis and exploration of clock spines. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Qiao Li 0001, Liang Shi, Chun Jason Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Improving LDPC performance via asymmetric sensing level placement on flash memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Joshua Marxen, Alex Orailoglu Ensuring system security through proximity based authentication. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Ye Tian 0010, Qiang Xu 0001, Jason Xue On efficient message passing in energy harvesting based distributed system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Adam Kostrzewa, Sebastian Tobuschat, Leonardo Ecco, Rolf Ernst Adaptive load distribution in mixed-critical Networks-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Nhut-Minh Ho, Elavarasi Manogaran, Weng-Fai Wong, Asha Anoosheh Efficient floating point precision tuning for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Kei Ikeda, Atsuki Kobayashi, Kazuo Nakazato, Kiichi Niitsu A scalable time-domain biosensor array using logarithmic cyclic time-attenuation-based TDC for high-resolution and large-scale bio-imaging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Sina Asadi, Amir Mahdi Hosseini Monazzah, Hamed Farbeh, Seyed Ghassem Miremadi WIPE: Wearout Informed Pattern Elimination to Improve the Endurance of NVM-based Caches. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Simon J. Bale, James Alfred Walker, Martin A. Trefzer, Andy M. Tyrrell Variability mapping at runtime using the PAnDA multi-reconfigurable architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Zhe Wang 0003, Zhongyuan Tian, Jiang Xu 0001, Rafael K. V. Maeda, Haoran Li 0002, Peng Yang 0003, Zhehui Wang, Luan H. K. Duong, Zhifei Wang, Xuanqi Chen Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Xin He, Guihai Yan, Faqiang Sun, Yinhe Han 0001, Xiaowei Li 0001 ApproxEye: Enabling approximate computation reuse for microrobotic computer vision. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori Workload-aware static aging monitoring of timing-critical flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Asit K. Mishra, Eriko Nurvitadhi, Ganesh Venkatesh, Jonathan Pearce, Debbie Marr Fine-grained accelerators for sparse machine learning workloads. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Andreas Grimmer, Qin Wang 0005, Hailong Yao, Tsung-Yi Ho, Robert Wille Close-to-optimal placement and routing for continuous-flow microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Amir Charif, Alexandre Coelho, Nacer-Eddine Zergainoh, Michael Nicolaidis Detailed and highly parallelizable cycle-accurate network-on-chip simulation on GPGPU. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Keita Yogosawa, Hideki Shinohara, Kousuke Miyaji A 13.56MHz CMOS active diode full-wave rectifier achieving ZVS with voltage-time-conversion delay-locked loop for wireless power transmission. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Yu-Min Lee, Chi-Han Lee, Yan-Cheng Zhu Yield-driven redundant power bump assignment for power network robustness. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Hye-Yeon Yoon, Gwang-Ho Lee, Tae-Hwan Kim A 686Mbps 1.85mm2 near-optimal symbol detector for spatial modulation MIMO systems in 0.18μm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Alessandra Melani, Maria A. Serrano, Marko Bertogna, Isabella Cerutti, Eduardo Quiñones, Giorgio C. Buttazzo A static scheduling approach to enable safety-critical OpenMP applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Wenqin Huangfu, Lixue Xia, Ming Cheng, Xiling Yin, Tianqi Tang 0001, Boxun Li, Krishnendu Chakrabarty, Yuan Xie 0001, Yu Wang 0002, Huazhong Yang Computation-oriented fault-tolerance schemes for RRAM computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Li-Wei Shieh, Kun-Chih Chen, Hsueh-Chun Fu, Po-Han Wang 0001, Chia-Lin Yang Enabling fast preemption via Dual-Kernel support on GPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license