The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yintao He, Ying Wang 0001, Cheng Liu 0008, Huawei Li 0001, Xiaowei Li 0001 TARe: Task-Adaptive in-situ ReRAM Computing for Graph Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiqiong Bai, Ziran Zhu, Peng Zou, Lichong Sun, Jianli Chen Late Breaking Results: Heterogeneous Circuit Layout Centerline Extraction for Mask Verification. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Georgios Zervakis 0001, Ourania Spantidi, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel Control Variate Approximation for DNN Accelerators. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aryan Deshwal, Syrine Belakaria, Ganapati Bhat, Janardhan Rao Doppa, Partha Pratim Pande Learning Pareto-Frontier Resource Management Policies for Heterogeneous SoCs: An Information-Theoretic Approach. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Geonhwa Jeong, Eric Qin 0001, Ananda Samajdar, Christopher J. Hughes, Sreenivas Subramoney, Hyesoon Kim, Tushar Krishna RASA: Efficient Register-Aware Systolic Array Matrix Engine for CPU. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Changchun Zhou, Min Liu, Siyuan Qiu, Yifan He, Hailong Jiao An Energy-Efficient Low-Latency 3D-CNN Accelerator Leveraging Temporal Locality, Full Zero-Skipping, and Hierarchical Load Balance. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Sachin S. Sapatnekar A New, Computationally Efficient "Blech Criterion" for Immortality in General Interconnects. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siying Feng, Jiawen Sun, Subhankar Pal, Xin He, Kuba Kaszyk, Dong-Hyeon Park, John Magnus Morton, Trevor N. Mudge, Murray Cole, Michael F. P. O'Boyle, Chaitali Chakrabarti, Ronald G. Dreslinski CoSPARSE: A Software and Hardware Reconfigurable SpMV Framework for Graph Analytics. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hasan Genc, Seah Kim, Alon Amid, Ameer Haj-Ali, Vighnesh Iyer, Pranav Prakash, Jerry Zhao, Daniel Grubb, Harrison Liew, Howard Mao, Albert J. Ou, Colin Schmidt 0001, Samuel Steffl, John Charles Wright, Ion Stoica, Jonathan Ragan-Kelley, Krste Asanovic, Borivoje Nikolic, Yakun Sophia Shao Gemmini: Enabling Systematic Deep-Learning Architecture Evaluation via Full-Stack Integration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chuxiong Lin, Weifeng He, Yanan Sun 0003, Zhigang Mao, Mingoo Seok CDAR-DRAM: An In-situ Charge Detection and Adaptive Data Restoration DRAM Architecture for Performance and Energy Efficiency Improvement. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Walter Lau Neto, Matheus T. Moreira, Yingjie Li, Luca G. Amarù, Cunxi Yu, Pierre-Emmanuel Gaillardon SLAP: A Supervised Learning Approach for Priority Cuts Technology Mapping. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinzhe Liu, Fupeng Chen, Raees Kizhakkumkara Muhamad, David Blinder, Dessislava Nikolova, Peter Schelkens, Francky Catthoor, Yajun Ha Bitwidth-Optimized Energy-Efficient FFT Design via Scaling Information Propagation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Andrea Basso 0002, Sujoy Sinha Roy Optimized Polynomial Multiplier Architectures for Post-Quantum KEM Saber. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1HanCheon Yun, Hyein Shin, Myeonggu Kang, Lee-Sup Kim Optimizing ADC Utilization through Value-Aware Bypass in ReRAM-based DNN Accelerator. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yanqiang Liu, Jiacheng Ma 0001, Zhengjun Zhang, Linsheng Li, Zhengwei Qi, Haibing Guan MEGATRON: Software-Managed Device TLB for Shared-Memory FPGA Virtualization. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Deboleena Roy, Indranil Chakraborty, Timur Ibrayev, Kaushik Roy 0001 On the Intrinsic Robustness of NVM Crossbars Against Adversarial Attacks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yawen Wu, Zhepeng Wang, Dewen Zeng, Yiyu Shi 0001, Jingtong Hu Enabling On-Device Self-Supervised Contrastive Learning with Selective Data Contrast. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jacob R. Stevens, Rangharajan Venkatesan, Steve Dai, Brucek Khailany, Anand Raghunathan Softermax: Hardware/Software Co-Design of an Efficient Softmax for Transformers. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xavier Timoneda, Lukas Cavigelli Late Breaking Results: Reinforcement Learning for Scalable Logic Optimization with Graph Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jacob R. Stevens, Dipankar Das 0002, Sasikanth Avancha, Bharat Kaul, Anand Raghunathan GNNerator: A Hardware/Software Framework for Accelerating Graph Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zizheng Guo, Tsung-Wei Huang, Yibo Lin A Provably Good and Practically Efficient Algorithm for Common Path Pessimism Removal in Large Designs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zizheng Guo, Jing Mai, Yibo Lin Ultrafast CPU/GPU Kernels for Density Accumulation in Placement. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ivan De Oliveira Nunes, Sashidhar Jakkamsetti, Gene Tsudik DIALED: Data Integrity Attestation for Low-end Embedded Devices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jounghoo Lee, Jinwoo Choi 0003, Jaeyeon Kim, Jinho Lee, Youngsok Kim Dataflow Mirroring: Architectural Support for Highly Efficient Fine-Grained Spatial Multitasking on Systolic-Array NPUs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yun-Chih Chen, Chun-Feng Wu, Yuan-Hao Chang 0001, Tei-Wei Kuo Reptail: Cutting Storage Tail Latency with Inherent Redundancy. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Honggang Yu, Haoqi Shan, Maximillian Panoff, Yier Jin Cross-Device Profiled Side-Channel Attacks using Meta-Transfer Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaohan Gao, Mingjie Liu, David Z. Pan, Yibo Lin Interactive Analog Layout Editing with Instant Placement Legalization. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Di Gao, Qingrong Huang, Grace Li Zhang, Xunzhao Yin, Bing Li 0005, Ulf Schlichtmann, Cheng Zhuo Bayesian Inference Based Robust Computing on Memristor Crossbar. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junzhe Cai, Changhao Yan, Yuzhe Ma, Bei Yu 0001, Dian Zhou, Xuan Zeng 0001 NeurFill: Migrating Full-Chip CMP Simulators to Neural Networks for Model-Based Dummy Filling Synthesis. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zishen Wan, Aqeel Anwar, Yu-Shun Hsiao, Tianyu Jia, Vijay Janapa Reddi, Arijit Raychowdhury Analyzing and Improving Fault Tolerance of Learning-Based Navigation Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lejla Batina, Rosario Cammarota, Nele Mentens, Ahmad-Reza Sadeghi, Johanna Sepúlveda, Shaza Zeitouni Invited: Security Beyond Bulk Silicon: Opportunities and Challenges of Emerging Devices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Andrea Mondelli, Paul Gazzillo, Yan Solihin SeMPE: Secure Multi Path Execution Architecture for Removing Conditional Branch Side Channels. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yingjie Li, Cunxi Yu Late Breaking Results: Physical Adversarial Attacks of Diffractive Deep Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Karthik Somayaji N. S., Hanbin Hu, Peng Li 0001 Prioritized Reinforcement Learning for Analog Circuit Optimization With Design Knowledge. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Feilong Zuo, Zhengxiong Luo, Junze Yu, Zhe Liu 0001, Yu Jiang 0001 PAVFuzz: State-Sensitive Fuzz Testing of Protocols in Autonomous Vehicles. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rachmad Vidya Wicaksana Putra, Muhammad Abdullah Hanif, Muhammad Shafique 0001 SparkXD: A Framework for Resilient and Energy-Efficient Spiking Neural Network Inference using Approximate DRAM. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Je Yang, Seongmin Hong, Joo-Young Kim 0001 FIXAR: A Fixed-Point Deep Reinforcement Learning Platform with Quantization-Aware Training and Adaptive Parallelism. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sagar Verma, Supriya Agrawal, R. Venkatesh 0001, Ulka Shrotri, Srinarayana Nagarathinam, Rajesh Jayaprakash, Aabriti Dutta EImprove - Optimizing Energy and Comfort in Buildings based on Formal Semantics and Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nimisha Limaye, Animesh Basak Chowdhury, Christian Pilato, Mohammed Thari Nabeel, Ozgur Sinanoglu, Siddharth Garg, Ramesh Karri Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tianyun Zhang, Xiaolong Ma, Zheng Zhan 0001, Shanglin Zhou, Caiwen Ding, Makan Fardad, Yanzhi Wang A Unified DNN Weight Pruning Framework Using Reweighted Optimization Methods. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gyeongtaek Kim, Sungjin Lee 0001, Hoon Sung Chwa Dynamic Chip Clustering and Task Allocation for Real-time Flash. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Johannes Müller, Mohammad Rahmani Fadiheh, Anna Lena Duque Antón, Thomas Eisenbarth 0001, Dominik Stoffel, Wolfgang Kunz A Formal Approach to Confidentiality Verification in SoCs at the Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yeseong Kim, Jiseung Kim 0005, Mohsen Imani CascadeHD: Efficient Many-Class Learning Framework Using Hyperdimensional Computing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alejandro Hernández-Cano, Cheng Zhuo, Xunzhao Yin, Mohsen Imani RegHD: Robust and Efficient Regression in Hyper-Dimensional Learning System. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lixiang Li 0003, Yao Chen 0008, Zacharie Zirnheld, Pan Li 0005, Cong Hao MELOPPR: Software/Hardware Co-design for Memory-efficient Low-latency Personalized PageRank. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chunyun Chen, Zhe Wang, Xiaowei Chen, Jie Lin 0001, Mohamed M. Sabry Aly Efficient Tunstall Decoder for Deep Neural Network Compression. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suraj Mishra, Danny Z. Chen, X. Sharon Hu INVITED: kCC-Net for Compression of Biomedical Image Segmentation Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Francesco Lumpp, Hiren D. Patel, Nicola Bombieri A Framework for Optimizing CPU-iGPU Communication on Embedded Platforms. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhipeng Huang 0009, Haokai Sun, Huimin Wang, Ziran Zhu, Jun Yu 0010, Jianli Chen Late Breaking Results: An Effective Legalization Algorithm for Heterogeneous FPGAs with Complex Constraints. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Minxuan Zhou, Yunhui Guo, Weihong Xu, Bin Li 0064, Kevin W. Eliceiri, Tajana Rosing MAT: Processing In-Memory Acceleration for Long-Sequence Attention. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ming-Hung Chen, Yao-Wen Chang, Jun-Jie Wang Performance-Driven Simultaneous Partitioning and Routing for Multi-FPGA Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Litong You, Tianxiao Gu, Shengan Zheng, Jianmei Guo, Sanhong Li, Yuting Chen, Linpeng Huang JPDHeap: A JVM Heap Design for PM-DRAM Memories. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ze-Wei Chen, Hang Lei, Maolin Yang, Yong Liao, Lei Qiao A Finer-Grained Blocking Analysis for Parallel Real-Time Tasks with Spin-Locks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohanad Odema, Nafiul Rashid, Berken Utku Demirel, Mohammad Abdullah Al Faruque LENS: Layer Distribution Enabled Neural Architecture Search in Edge-Cloud Hierarchies. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Niels Gleinig, Torsten Hoefler An Efficient Algorithm for Sparse Quantum State Preparation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Paolo Pazzaglia, Daniel Casini, Alessandro Biondi 0001, Marco Di Natale Optimal Memory Allocation and Scheduling for DMA Data Transfers under the LET Paradigm. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Omid Aramoon, Pin-Yu Chen, Gang Qu 0001 AID: Attesting the Integrity of Deep Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kanghyun Choi, Deokki Hong, Hojae Yoon, Joonsang Yu, Youngsok Kim, Jinho Lee DANCE: Differentiable Accelerator/Network Co-Exploration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Meng Sha, Xin Chen 0027, Yuzhe Ji, Qingye Zhao, Zhengfeng Yang, Wang Lin, Enyi Tang, Qiguang Chen, Xuandong Li Synthesizing Barrier Certificates of Neural Network Controlled Continuous Systems via Approximations. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Behzad Boroujerdian, Radhika Ghosal, Jonathan J. Cruz, Brian Plancher, Vijay Janapa Reddi RoboRun: A Robot Runtime to Exploit Spatial Heterogeneity. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Songyun Qu, Bing Li, Ying Wang 0001, Lei Zhang 0008 ASBP: Automatic Structured Bit-Pruning for RRAM-based NN Accelerator. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuhong Liang, Ming-Chang Yang Move-On-Modify: An Efficient yet Crash-Consistent Update Strategy for Interlaced Magnetic Recording. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuan-Hung Tsai, Jie-Hong R. Jiang, Chiao-Shan Jhang Bit-Slicing the Hilbert Space: Scaling Up Accurate Quantum Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sadullah Canakci, Leila Delshadtehrani, Furkan Eris, Michael Bedford Taylor, Manuel Egele, Ajay Joshi DirectFuzz: Automated Test Generation for RTL Designs using Directed Graybox Fuzzing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jialin Lu, Liangbo Lei, Fan Yang 0001, Changhao Yan, Xuan Zeng 0001 Automated Compensation Scheme Design for Operational Amplifier via Bayesian Optimization. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaopeng Zhang 0009, Haoyu Yang, Evangeline F. Y. Young Attentional Transfer is All You Need: Technology-aware Layout Pattern Generation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Weixiong Jiang, Heng Yu 0001, Xinzhe Liu, Hao Sun, Rui Li, Yajun Ha TAIT: One-Shot Full-Integer Lightweight DNN Quantization via Tunable Activation Imbalance Transfer. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hsu-Kang Dow, Tuo Li 0001, William Miles, Sri Parameswaran SHORE: Hardware/Software Method for Memory Safety Acceleration on RISC-V. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alejandro Hernández-Cano, Rosario Cammarota, Mohsen Imani PRID: Model Inversion Privacy Attacks in Hyperdimensional Learning Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fei Wen, Mian Qin, Paul Gratz, A. L. Narasimha Reddy OpenMem: Hardware/Software Cooperative Management for Mobile Memory System. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ahmet Faruk Budak, Prateek Bhansali, Bo Liu 0003, Nan Sun 0001, David Z. Pan, Chandramouli V. Kashyap DNN-Opt: An RL Inspired Optimization for Analog Circuit Sizing using Deep Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guannan Guo, Tsung-Wei Huang, Yibo Lin, Martin D. F. Wong GPU-accelerated Path-based Timing Analysis. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Baogang Zhang, Rickard Ewetz Towards Resilient Deployment of In-Memory Neural Networks with High Throughput. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Martin Ferianc, Miguel Rodrigues 0001, Hongyu Zhou, Xinyu Niu, Wayne Luk High-Performance FPGA-based Accelerator for Bayesian Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rodrigo Otoni, Martin Blicha, Patrick Eugster, Antti E. J. Hyvärinen, Natasha Sharygina Theory-Specific Proof Steps Witnessing Correctness of SMT Executions. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi AutoSVA: Democratizing Formal Verification of RTL Module Interactions. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yifeng Xiao, Miaodi Su, Haoyu Yang, Jianli Chen, Jun Yu 0010, Bei Yu 0001 Low-Cost Lithography Hotspot Detection with Active Entropy Sampling and Model Calibration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tao Yang, Dongyue Li, Yibo Han, Yilong Zhao, Fangxin Liu, Xiaoyao Liang, Zhezhi He, Li Jiang 0002 PIMGCN: A ReRAM-Based PIM Design for Graph Convolutional Network Acceleration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sai Surya Kiran Pentapati, Sung Kyu Lim Heterogeneous Monolithic 3D ICs: EDA Solutions, and Power, Performance, Cost Tradeoffs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yukui Luo, Cheng Gongye, Yunsi Fei, Xiaolin Xu DeepStrike: Remotely-Guided Fault Injection Attacks on DNN Accelerator in Cloud-FPGA. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Menglong Cui, Mingsong Lv, Qingqiang He, Caiqi Zhang, Chuancai Gu, Tao Yang, Nan Guan PRUID: Practical User Interface Distribution for Multi-surface Computing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jackson Woodruff, Michael F. P. O'Boyle New Regular Expressions on Old Accelerators. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jinwoo Kim, Lingjun Zhu, Hakki Mert Torun, Madhavan Swaminathan, Sung Kyu Lim Micro-bumping, Hybrid Bonding, or Monolithic? A PPA Study for Heterogeneous 3D IC Options. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sanket Shukla, Sai Manoj P. D., Gaurav Kolhe, Setareh Rafatirad On-device Malware Detection using Performance-Aware and Robust Collaborative Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yonggan Fu, Yongan Zhang, Chaojian Li, Zhongzhi Yu, Yingyan Lin A3C-S: Automated Agent Accelerator Co-Search towards Efficient Deep Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Orian Leitersdorf, Ben Perach, Ronny Ronen, Shahar Kvatinsky Efficient Error-Correcting-Code Mechanism for High-Throughput Memristive Processing-in-Memory. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ching-Yuan Chen, Krishnendu Chakrabarty Pruning of Deep Neural Networks for Fault-Tolerant Memristor-based Accelerators. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yujun Lin 0001, Mengtian Yang, Song Han 0003 NAAS: Neural Accelerator Architecture Search. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zirui Xu, Fuxun Yu, Jinjun Xiong, Xiang Chen 0010 Helios: Heterogeneity-Aware Federated Learning with Dynamically Balanced Collaboration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yaswanth Yadlapalli, Husheng Zhou, Yuqun Zhang, Cong Liu 0005 gGuard: Enabling Leakage-Resilient Memory Isolation in GPU-accelerated Autonomous Embedded Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yichen Jiang, Huifeng Zhu, Dean Sullivan, Xiaolong Guo, Xuan Zhang 0001, Yier Jin Quantifying Rowhammer Vulnerability for DRAM Security. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Amar Shrestha, Haowen Fang, Daniel Patrick Rider, Zaidao Mei, Qinru Qiu In-Hardware Learning of Multilayer Spiking Neural Networks on a Neuromorphic Processor. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhongkai Wang, Minsoo Choi, Eric Chang, John Charles Wright, Wooham Bae, Sijun Du, Zhaokai Liu, Nathan Narevsky, Colin Schmidt 0001, Ayan Biswas, Borivoje Nikolic, Elad Alon An Automated and Process-Portable Generator for Phase-Locked Loop. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wenfei Hu, Zhikai Wang, Sen Yin, Zuochang Ye, Yan Wang 0023 Sensitivity Importance Sampling Yield Analysis and Optimization for High Sigma Failure Rate Estimation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shih-Ting Lin, Hung-Hsiao Wang, Chia-Yu Kuo, Yolo Chen, Yih-Lang Li A Complete PCB Routing Methodology with Concurrent Hierarchical Routing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhe Zhou, Bizhao Shi, Zhe Zhang, Yijin Guan, Guangyu Sun 0003, Guojie Luo BlockGNN: Towards Efficient GNN Acceleration Using Block-Circulant Weight Matrices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhe Jiang 0004, Kecheng Yang 0001, Yunfeng Ma, Nathan Fisher, Neil C. Audsley, Zheng Dong 0002 I/O-GUARD: Hardware/Software Co-Design for I/O Virtualization with Guaranteed Real-time Performance. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ghada Dessouky, Mihailo Isakov, Michel A. Kinsy, Pouya Mahmoody, Miguel Mark, Ahmad-Reza Sadeghi, Emmanuel Stapf, Shaza Zeitouni Distributed Memory Guard: Enabling Secure Enclave Computing in NoC-based Architectures. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kai-En Yang, Chia-Yu Tsai, Hung-Hao Shen, Chen-Feng Chiang, Feng-Ming Tsai, Chung-An Wang, Yiju Ting, Chia-Shun Yeh, Chin-Tang Lai Trust-Region Method with Deep Reinforcement Learning in Analog Design Space Exploration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ebadollah Taheri, Ryan Gary Kim, Mahdi Nikdast AdEle: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D NoCs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license