The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16André Sülflow, Görschwin Fey, Roderick Bloem, Rolf Drechsler Using unsatisfiable cores to debug multiple design errors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sat-based debugging, unsatisfiable core, fault localization
16Sorin Cocorada An IEEE 802.11 g simulation model with extended debug capabilities. Search on Bibsonomy SimuTools The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IEEE 802.11g, Wireshark, simulator, Omnet++
16Bart Vermeulen, Sjaak Bakker Debug architecture for the En-II system chip. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Erik Jan Marinissen, Nicola Nicolici Editorial Silicon Debug and Diagnosis. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Marc Boule, Jean-Samuel Chenard, Zeljko Zilic Debug enhancements in assertion-checker generation. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Albrecht Mayer, Harry Siebert, Klaus D. McDonald-Maier Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
16Felix Beaudoin, Kevin Sanchez, Philippe Perdu Dynamic laser stimulation techniques for advanced failure analysis and design debug applications. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Frank Rogin, Erhard Fehlauer, Christian Haufe, Sebastian Ohnewald Debug Patterns for Efficient High-level SystemC Debugging. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Max R. de O. Schultz, Alexandre Keunecke Ignácio Mendonça, Felipe G. Carvalho, Olinto J. V. Furtado, Luiz C. V. dos Santos A Model-Driven Automatically-Retargetable Debug Tool for Embedded Systems. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Anis Uzzaman, Fidel Muradali, Takashi Aikyo, Robert C. Aitken, Tom Jackson, Rajesh Galivanche, Takeshi Onodera Test Roles in Diagnosis and Silicon Debug. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Prawat Nagvajara, Baris Taskin Design-for-Debug: A Vital Aspect in Education. Search on Bibsonomy MSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Hratch Mangassarian, Andreas G. Veneris, Sean Safarpour, Marco Benedetti, Duncan Exon Smith A performance-driven QBF-based iterative logic array representation with applications to verification, debug and test. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Srikanth Venkataraman DFM, DFY, Debug and Diagnosis: The Loop to Ensure Yield. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Tapan J. Chakraborty, Chen-Huan Chiang, Bradford G. Van Treuren A practical approach to comprehensive system test & debug using boundary scan based test architecture. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ehab Anis, Nicola Nicolici On using lossless compression of debug data in embedded logic analysis. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Udaya Shankar Natarajan, Hemalatha Shanmugasundaram, Prachi Deshpande, Chin Soon Wah Rapid UHF RFID silicon debug and production testing. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Emre Kiciman, Helen J. Wang Live Monitoring: Using Adaptive Instrumentation and Analysis to Debug and Maintain Web Applications. Search on Bibsonomy HotOS The full citation details ... 2007 DBLP  BibTeX  RDF
16Christian Burmer, Siegfried Görlich Failure analyses for debug and ramp-up of modern IC's. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Chao Liu 0001, Zeng Lian, Jiawei Han 0001 How Bayesians Debug. Search on Bibsonomy ICDM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Peter Kemper, Carsten Tepper A Petri Net Approach to Verify and Debug Simulation Models. Search on Bibsonomy Simulation and Verification of Dynamic Systems The full citation details ... 2006 DBLP  BibTeX  RDF
16In-Ho Moon, Per Bjesse, Carl Pixley Practical Issues in Sequential Equivalence Checking through Alignability: Handling Don't Cares and Generating Debug Traces. Search on Bibsonomy HLDVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Fidel Muradali Practical Needs and Wants for Silicon Debug and Diagnosis. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031 Acceleration Techniques for Chip-Multiprocessor Simulator Debug. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16N. Dakwala Silicon Debug and DFT for SOC IP. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hangkyu Lee, Suriyaprakash Natarajan, Srinivas Patil, Irith Pomeranz Selecting High-Quality Delay Tests for Manufacturing Test and Debug. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Mack W. Riley, Nathan Chelstrom, Mike Genden, Shoji Sawamura Debug of the CELL Processor: Moving the Lab into Silicon. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Talal Arnaout, Gunter Bartsch, Hans-Joachim Wunderlich Some Common Aspects of Design Validation, Debug and Diagnosis. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16David Abercrombie, Bernd Koenemann, Nagesh Tamarapalli, Srikanth Venkataraman DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Emre Kiciman, David A. Maltz, Moisés Goldszmidt, John C. Platt Mining web logs to debug distant connectivity problems. Search on Bibsonomy MineNet The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Peter Bellows High-Visibility Debug-By-Design for FPGA Platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF platform development, FPGA, debugging, device driver, co-simulation
16Mustapha Remmach, A. Pigozzi, Romain Desplats, Philippe Perdu, Dean Lewis, J. Noel, Sylvain Dudit Light Emission to Time Resolved Emission For IC Debug and Failure Analysis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Andrei Pavlov, Manoj Sachdev, José Pineda de Gyvez, Mohamed Azimane Programmable techniques for cell stability test and debug in embedded SRAMs. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Bradley R. Quinton, Steven J. E. Wilton Post-Silicon Debug Using Programmable Logic Cores. Search on Bibsonomy FPT The full citation details ... 2005 DBLP  BibTeX  RDF
16Olivier Caty, Peter Dahlgren, Ismet Bayraktaroglu Microprocessor silicon debug based on failure propagation tracing. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kees van Kaam, Bart Vermeulen, Henk Jan Bergveld Test and debug features of the RTO7 chip. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tohru Matsuodani, Kazuhiko Tsuda Evaluation of debug-testing efficiency by duplication of the detected fault and delay time of repair. Search on Bibsonomy Inf. Sci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Susanne M. Balle, Bevin R. Brett, Chih-Ping Chen, David LaFrance-Linden Extending a traditional debugger to debug massively parallel applications. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Peter Bellows Distinguished Paper: High-Visibility Debug-by-Design for FPGA Platforms. Search on Bibsonomy ERSA The full citation details ... 2004 DBLP  BibTeX  RDF
16Ramyanshu Datta, Antony Sebastine, Jacob A. Abraham Delay fault testing and silicon debug using scan chains. Search on Bibsonomy ETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Doug Josephson, Bob Gottlieb The crazy mixed up world of silicon debug [IC validation]. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Kevin Peterson, Yvon Savaria Assertion-based on-line verification and debug environment for complex hardware systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
16Debashis Nayak, Srikanth Venkataraman, Paul J. Thadikaran Razor: A Tool for Post-Silicon Scan ATPG Pattern Debug and Its Application. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16R. Pelliconi, Fabio Campi, L. Salsa, Claudio Mucci, S. Macchiavelli An in-circuit debug environment for multiprocessor SOCs based on a HDL RISC soft-core. Search on Bibsonomy SoC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Bil Lewis, Mireille Ducassé Using events to debug Java programs backwards in time. Search on Bibsonomy OOPSLA Companion The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Abhik Roychoudhury, Tulika Mitra, S. R. Karri Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Erik Moerman, Sébastien Bocq, Johan Verfaillie Debug architecture for system on chip taking full advantage of the test access port. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Linda J. Moore, Angelica R. Moya Non-Intrusive Debug Technique for Embedded Programming. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Wolfgang Mayer, Markus Stumptner Extending Diagnosis to Debug Programs with Exceptions. Search on Bibsonomy ASE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Robert F. Molyneaux Debug and Diagnosis in the Age of System-on-a-Chip. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Milos Prvulovic, Josep Torrellas ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Akane Yakushiji, Yuka Tateisi, Yusuke Miyao, Naoki Yoshinaga 0001, Jun'ichi Tsujii A Debug Tool for Practical Grammar Development. Search on Bibsonomy ACL (Companion) The full citation details ... 2003 DBLP  BibTeX  RDF
16Richard Raimi, James Lear Silicon Debug of a PowerPC[tm] Microprocessor Using Model Checking. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Bart Vermeulen, Sandeep Kumar Goel Design for Debug: Catching Design Errors in Digital Chips. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Irith Pomeranz, Janusz Rajski, Sudhakar M. Reddy Finding a Common Fault Response for Diagnosis during Silicon Debug. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sandeep Kumar Goel, Bart Vermeulen Data invalidation analysis for scan-based debug on multiple-clock system chips. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Masao Kubo, Masahiro Fujita Debug methodology for arithmetic circuits on FPGAs. Search on Bibsonomy FPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Eric Roesler, Brent E. Nelson Debug methods for hybrid CPU/FPGA systems. Search on Bibsonomy FPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sanjeev Kumar, Kai Li 0001 Using Model Checking to Debug Device Firmware. Search on Bibsonomy OSDI The full citation details ... 2002 DBLP  BibTeX  RDF
16Fidel Muradali, Mike Ricchetti, Bart Vermeulen, Bulent I. Dervisoglu, Bob Gottlieb, Bernd Koenemann, C. J. Clark Reducing Time to Volume and Time to Market: Is Silicon Debug and Diagnosis the Answer? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Gyöngyi Szilágyi, László Harmath, Tibor Gyimóthy The Debug Slicing of Logic Programs. Search on Bibsonomy Acta Cybern. The full citation details ... 2001 DBLP  BibTeX  RDF
16Ted R. Lundquist, E. Delenia, J. Harroun, E. LeRoy, Chun-Cheng Tsao Ultra-Thinning of C4 Integrated Circuits for Backside Analysis during First Silicon Debug. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Marco Rona, Gunter Krampl A VHDL-based virtual test concept for pre-silicon test-program debug. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Cindy Schiess Emulation: debug it in the lab - not on the floor. Search on Bibsonomy WSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Thomas Fahringer, Krzysztof Sowa-Pieklo, J. Luitz, Hans Moritsch On Using SPiDER to Examine and Debug Real-World Data-Parallel Applications. Search on Bibsonomy PaCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Bart Vermeulen, Steven Oostdijk, Frank Bouwman Test and debug strategy of the PNX8525 NexperiaTM digital video platform system chip. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Don Douglas Josephson, Steve Poehhnan, Vincent Govan Debug methodology for the McKinley processor. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Dilip K. Bhavsar, Rishan Tan Observability Register Architecture For Efficient Production Test And Debug Of Vlsi Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Pascal Bouvier Visual Tools to Debug Prolog IV Programs. Search on Bibsonomy Analysis and Visualization Tools for Constraint Programming The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Bart Vermeulen, Gert-Jan van Rootselaar Silicon debug of a co-processor array for video applications. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16A. Bommireddy, Jitendra Khare, Saghir A. Shaikh, S.-T. Su Test and Debug of Networking SoCs: A Case Study. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Farideh Golshan Test and on-line debug capabilities of IEEE Std 1149.1 in UltraSPARC-III microprocessor. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Pablo Galdámez, Declan Murphy, José M. Bernabéu-Aubán, Francesc D. Muñoz-Escoí Event-Based Techniques to Debug an Object Request Broker. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF software instrumentation, events, Fault Injection, Behavior modeling, Distributed Debugging, Object Request Broker
16Greg C. Lee, Jackie C. Wu Debug It: A debugging practicing system. Search on Bibsonomy Comput. Educ. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Harald P. E. Vranken Debug facilities in the TriMedia CPU64 architecture. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Ana Paula Cláudio, João Duarte Cunha, Maria Beatriz Carmo MPVisualizer: A General Tool to Debug Message Passing Parallel Applications. Search on Bibsonomy HPCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Timothy J. Wood The test and debug features of the AMD-K7 microprocessor. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Richard H. Livengood, Donna Medeiros Design for (physical) debug for silicon microsurgery and probing of flip-chip packaged integrated circuits. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Gert-Jan van Rootselaar, Bart Vermeulen Silicon debug: scan chains alone are not enough. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Adrian Carbine, Derek Feltham Pentium Pro Processor Design for Test and Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16 Silicon Debug of Systems-on-Chips. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Susan Craw, Robin Boswell, Ray Rowe Knowledge Refinement to Debug and Maintain a Tablet Formulation System. Search on Bibsonomy ICTAI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Design Knowledge Based Systems, Knowledge Acquisition, Knowledge Refinement
16Adrian Carbine, Derek Feltham Pentium® Pro Processor Design for Test and Debug. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Taghi M. Khoshgoftaar, Edward B. Allen, Nishith Goel, Amit Nandi, John McMullan Detection of software modules with high debug code churn in a very large legacy system. Search on Bibsonomy ISSRE The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Linda J. Moore, Frank A. Scarpino A Software Debug Aid for High Performance Processors: A Multi-Tasking Feasibility Study. Search on Bibsonomy PDPTA The full citation details ... 1996 DBLP  BibTeX  RDF
16P. Placide, Gerard Florin, Laurence Duchien, Lionel Seinturier A Consistent Global State Algorithm to Debug Distributed Object-Oriented Applications. Search on Bibsonomy AADEBUG The full citation details ... 1995 DBLP  BibTeX  RDF
16Hong Hao, Rick Avra Structured Design-for-Debug - The SuperSPARCTM II Methodology and Implementation. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Kalon Holdbrook, Sunil Joshi, Samir Mitra, Joe Petolino, Renu Raman, Michelle Wong microSPARCTM: A Case Study of Scan-Based Debug. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Jerry Katz A Case Study in the Use of Scan in microSparcTM Testing and Debug. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Maximilian Frey, Andreas Weininger Using temporal logic specifications to debug parallel programs. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Stephen M. Dunn, D. G. Balazich, Lawrence K. Lange, Charlotte C. Montillo Pattern generator card, emulation, and debug. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Edwige E. Pissaloux, David H. Schaefer, Samir Bouaziz, Francis Devos MPPNL - A Petri Net based Language to design fine-grained parallel machines and debug their software. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Frank A. Stomp Preserving Specific Properties in Programm Development: How to Debug Programs (Conference Version). Search on Bibsonomy CONCUR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Yoshihiro Tohma, Hisashi Yamano, Morio Ohba, Raymond Jacoby Parameter estimation of the hyper-geometric distribution model for real test/debug data. Search on Bibsonomy ISSRE The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Paul Brna, Alan Bundy, Helen Pain A framework for the Principled Debugging of Prolog Programs: How to Debug Non-Terminating Programs. Search on Bibsonomy ALPUK The full citation details ... 1990 DBLP  BibTeX  RDF
16Stephen J. Garland, John V. Guttag Using LP to Debug Specifications. Search on Bibsonomy Programming Concepts and Methods The full citation details ... 1990 DBLP  BibTeX  RDF
16Richard I. Hartley, Kenneth Welles II, Michael J. Hartman A synthesis, test and debug environment for rapid prototyping of DSP designs. Search on Bibsonomy RSP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Yoshihiro Tohma Models to estimate the number of faults still resident in the software after test/debug process. Search on Bibsonomy COMPSAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Jiemin Gong, Minzhu Lu, Ning Li A tool to generate DEBUG program of arbitrary type of microprocessors. Search on Bibsonomy COMPSAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Bulent I. Dervisoglu, M. A. Keil ATLAS/ELA: Scan-based Software Tools for Reducing System Debug Time in a State-of-the-art Workstation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Bulent I. Dervisoglu Using Scan Technology for Debug and Diagnostics in a Workstation Environment. Search on Bibsonomy ITC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1206 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license