Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | André Sülflow, Görschwin Fey, Roderick Bloem, Rolf Drechsler |
Using unsatisfiable cores to debug multiple design errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 77-82, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
sat-based debugging, unsatisfiable core, fault localization |
16 | Sorin Cocorada |
An IEEE 802.11 g simulation model with extended debug capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SimuTools ![In: Proceedings of the 1st International Conference on Simulation Tools and Techniques for Communications, Networks and Systems & Workshops, SimuTools 2008, Marseille, France, March 3-7, 2008, pp. 81, 2008, ICST/ACM, 978-963-9799-20-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
IEEE 802.11g, Wireshark, simulator, Omnet++ |
16 | Bart Vermeulen, Sjaak Bakker |
Debug architecture for the En-II system chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 1(6), pp. 678-684, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Erik Jan Marinissen, Nicola Nicolici |
Editorial Silicon Debug and Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 1(6), pp. 659-660, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Marc Boule, Jean-Samuel Chenard, Zeljko Zilic |
Debug enhancements in assertion-checker generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 1(6), pp. 669-677, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Albrecht Mayer, Harry Siebert, Klaus D. McDonald-Maier |
Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/0710.4827, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
16 | Felix Beaudoin, Kevin Sanchez, Philippe Perdu |
Dynamic laser stimulation techniques for advanced failure analysis and design debug applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 47(9-11), pp. 1517-1522, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Frank Rogin, Erhard Fehlauer, Christian Haufe, Sebastian Ohnewald |
Debug Patterns for Efficient High-level SystemC Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), Kraków, Poland, April 11-13, 2007, pp. 403-408, 2007, IEEE Computer Society, 1-4244-1161-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Max R. de O. Schultz, Alexandre Keunecke Ignácio Mendonça, Felipe G. Carvalho, Olinto J. V. Furtado, Luiz C. V. dos Santos |
A Model-Driven Automatically-Retargetable Debug Tool for Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop, SAMOS 2007, Samos, Greece, July 16-19, 2007, Proceedings, pp. 13-23, 2007, Springer, 978-3-540-73622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Anis Uzzaman, Fidel Muradali, Takashi Aikyo, Robert C. Aitken, Tom Jackson, Rajesh Galivanche, Takeshi Onodera |
Test Roles in Diagnosis and Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 16th Asian Test Symposium, ATS 2007, Beijing, China, October 8-11, 2007, pp. 367, 2007, IEEE, 0-7695-2890-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Prawat Nagvajara, Baris Taskin |
Design-for-Debug: A Vital Aspect in Education. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSE ![In: IEEE International Conference on Microelectronic Systems Education, MSE '07, San Diego, CA, USA, June 3-4, 2007, pp. 65-66, 2007, IEEE Computer Society, 0-7695-2849-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Hratch Mangassarian, Andreas G. Veneris, Sean Safarpour, Marco Benedetti, Duncan Exon Smith |
A performance-driven QBF-based iterative logic array representation with applications to verification, debug and test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 240-245, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Srikanth Venkataraman |
DFM, DFY, Debug and Diagnosis: The Loop to Ensure Yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 5, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Tapan J. Chakraborty, Chen-Huan Chiang, Bradford G. Van Treuren |
A practical approach to comprehensive system test & debug using boundary scan based test architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-10, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Ehab Anis, Nicola Nicolici |
On using lossless compression of debug data in embedded logic analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-10, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Udaya Shankar Natarajan, Hemalatha Shanmugasundaram, Prachi Deshpande, Chin Soon Wah |
Rapid UHF RFID silicon debug and production testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-10, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Emre Kiciman, Helen J. Wang |
Live Monitoring: Using Adaptive Instrumentation and Analysis to Debug and Maintain Web Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotOS ![In: Proceedings of HotOS'07: 11th Workshop on Hot Topics in Operating Systems, May 7-9, 2005, San Diego, California, USA, 2007, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
16 | Christian Burmer, Siegfried Görlich |
Failure analyses for debug and ramp-up of modern IC's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(9-11), pp. 1486-1497, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Chao Liu 0001, Zeng Lian, Jiawei Han 0001 |
How Bayesians Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDM ![In: Proceedings of the 6th IEEE International Conference on Data Mining (ICDM 2006), 18-22 December 2006, Hong Kong, China, pp. 382-393, 2006, IEEE Computer Society, 0-7695-2701-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Peter Kemper, Carsten Tepper |
A Petri Net Approach to Verify and Debug Simulation Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Simulation and Verification of Dynamic Systems ![In: Simulation and Verification of Dynamic Systems, 17.04. - 22.04.2006, 2006, Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
16 | In-Ho Moon, Per Bjesse, Carl Pixley |
Practical Issues in Sequential Equivalence Checking through Alignability: Handling Don't Cares and Generating Debug Traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: Eleventh Annual IEEE International High-Level Design Validation and Test Workshop 2006, Monterey, CA, USA, Nov 9-10, 2006, pp. 170-175, 2006, IEEE Computer Society, 1-4244-0679-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Fidel Muradali |
Practical Needs and Wants for Silicon Debug and Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 15th Asian Test Symposium, ATS 2006, Fukuoka, Japan, November 20-23, 2006, pp. 135, 2006, IEEE, 0-7695-2628-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031 |
Acceleration Techniques for Chip-Multiprocessor Simulator Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 509-515, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | N. Dakwala |
Silicon Debug and DFT for SOC IP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: 2006 IEEE International SOC Conference, Austin, Texas, USA, September 24-27, 2006, pp. 327-328, 2006, IEEE, 0-7803-9781-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Hangkyu Lee, Suriyaprakash Natarajan, Srinivas Patil, Irith Pomeranz |
Selecting High-Quality Delay Tests for Manufacturing Test and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 59-70, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Mack W. Riley, Nathan Chelstrom, Mike Genden, Shoji Sawamura |
Debug of the CELL Processor: Moving the Lab into Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2006 IEEE International Test Conference, ITC 2006, Santa Clara, CA, USA, October 22-27, 2006, pp. 1-9, 2006, IEEE Computer Society, 1-4244-0292-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Talal Arnaout, Gunter Bartsch, Hans-Joachim Wunderlich |
Some Common Aspects of Design Validation, Debug and Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: Third IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2006), 17-19 January 2006, Kuala Lumpur, Malaysia, pp. 3-10, 2006, IEEE Computer Society, 0-7695-2500-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | David Abercrombie, Bernd Koenemann, Nagesh Tamarapalli, Srikanth Venkataraman |
DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 14, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Emre Kiciman, David A. Maltz, Moisés Goldszmidt, John C. Platt |
Mining web logs to debug distant connectivity problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MineNet ![In: Proceedings of the 2nd Annual ACM Workshop on Mining Network Data, MineNet 2006, Pisa, Italy, September 15, 2006, pp. 287-292, 2006, ACM, 1-59593-569-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Peter Bellows |
High-Visibility Debug-By-Design for FPGA Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 32(2), pp. 105-118, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
platform development, FPGA, debugging, device driver, co-simulation |
16 | Mustapha Remmach, A. Pigozzi, Romain Desplats, Philippe Perdu, Dean Lewis, J. Noel, Sylvain Dudit |
Light Emission to Time Resolved Emission For IC Debug and Failure Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 45(9-11), pp. 1476-1481, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Andrei Pavlov, Manoj Sachdev, José Pineda de Gyvez, Mohamed Azimane |
Programmable techniques for cell stability test and debug in embedded SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, CICC 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005, pp. 443-446, 2005, IEEE, 0-7803-9023-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Bradley R. Quinton, Steven J. E. Wilton |
Post-Silicon Debug Using Programmable Logic Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, FPT 2005, 11-14 December 2005, Singapore, pp. 241-248, 2005, IEEE, 0-7803-9407-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
16 | Olivier Caty, Peter Dahlgren, Ismet Bayraktaroglu |
Microprocessor silicon debug based on failure propagation tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2005 IEEE International Test Conference, ITC 2005, Austin, TX, USA, November 8-10, 2005, pp. 10, 2005, IEEE Computer Society, 0-7803-9038-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Kees van Kaam, Bart Vermeulen, Henk Jan Bergveld |
Test and debug features of the RTO7 chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2005 IEEE International Test Conference, ITC 2005, Austin, TX, USA, November 8-10, 2005, pp. 10, 2005, IEEE Computer Society, 0-7803-9038-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Tohru Matsuodani, Kazuhiko Tsuda |
Evaluation of debug-testing efficiency by duplication of the detected fault and delay time of repair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Sci. ![In: Inf. Sci. 166(1-4), pp. 83-103, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Susanne M. Balle, Bevin R. Brett, Chih-Ping Chen, David LaFrance-Linden |
Extending a traditional debugger to debug massively parallel applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 64(5), pp. 617-628, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Peter Bellows |
Distinguished Paper: High-Visibility Debug-by-Design for FPGA Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ERSA ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'04, June 21-24, 2004, Las Vegas, Nevada, USA, pp. 247-258, 2004, CSREA Press, 1-932415-42-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
16 | Ramyanshu Datta, Antony Sebastine, Jacob A. Abraham |
Delay fault testing and silicon debug using scan chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 9th European Test Symposium, ETS 2004, Ajaccio, France, May 23-26, 2004, pp. 46-51, 2004, IEEE Computer Society, 0-7695-2119-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Doug Josephson, Bob Gottlieb |
The crazy mixed up world of silicon debug [IC validation]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, CICC 2004, Orlando, FL, USA, October 2004, pp. 665-670, 2004, IEEE, 0-7803-8495-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Kevin Peterson, Yvon Savaria |
Assertion-based on-line verification and debug environment for complex hardware systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 685-688, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
16 | Debashis Nayak, Srikanth Venkataraman, Paul J. Thadikaran |
Razor: A Tool for Post-Silicon Scan ATPG Pattern Debug and Its Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 22nd IEEE VLSI Test Symposium (VTS 2004), 25-29 April 2004, Napa Valley, CA, USA, pp. 97-102, 2004, IEEE Computer Society, 0-7695-2134-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | R. Pelliconi, Fabio Campi, L. Salsa, Claudio Mucci, S. Macchiavelli |
An in-circuit debug environment for multiprocessor SOCs based on a HDL RISC soft-core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoC ![In: Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004, pp. 193-196, 2004, IEEE, 0-7803-8558-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Bil Lewis, Mireille Ducassé |
Using events to debug Java programs backwards in time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion of the 18th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2003, October 26-30, 2003, Anaheim, CA, USA, pp. 96-97, 2003, ACM, 1-58113-751-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Abhik Roychoudhury, Tulika Mitra, S. R. Karri |
Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10828-10833, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Erik Moerman, Sébastien Bocq, Johan Verfaillie |
Debug architecture for system on chip taking full advantage of the test access port. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETW ![In: 8th European Test Workshop, ETW 2003, Maastricht, The Netherlands, May 25-28, 2003, pp. 155-159, 2003, IEEE Computer Society, 0-7695-1908-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Linda J. Moore, Angelica R. Moya |
Non-Intrusive Debug Technique for Embedded Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 14th International Symposium on Software Reliability Engineering (ISSRE 2003), 17-20 November 2003, Denver, CO, USA, pp. 375-382, 2003, IEEE Computer Society, 0-7695-2007-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Wolfgang Mayer, Markus Stumptner |
Extending Diagnosis to Debug Programs with Exceptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 18th IEEE International Conference on Automated Software Engineering (ASE 2003), 6-10 October 2003, Montreal, Canada, pp. 240-244, 2003, IEEE Computer Society, 0-7695-2035-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Robert F. Molyneaux |
Debug and Diagnosis in the Age of System-on-a-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 1303, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Milos Prvulovic, Josep Torrellas |
ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 30th International Symposium on Computer Architecture (ISCA 2003), 9-11 June 2003, San Diego, California, USA, pp. 110-121, 2003, IEEE Computer Society, 0-7695-1945-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Akane Yakushiji, Yuka Tateisi, Yusuke Miyao, Naoki Yoshinaga 0001, Jun'ichi Tsujii |
A Debug Tool for Practical Grammar Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACL (Companion) ![In: ACL 2003, 41st Annual Meeting of the Association for Computational Linguistics, Companion Volume to the Proceedings, 7-12 July 2003, Sapporo Convention Center, Sapporo, Japan, pp. 173-176, 2003, The Association for Computer Linguistics. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
16 | Richard Raimi, James Lear |
Silicon Debug of a PowerPC[tm] Microprocessor Using Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 21(1), pp. 79-94, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Bart Vermeulen, Sandeep Kumar Goel |
Design for Debug: Catching Design Errors in Digital Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 19(3), pp. 37-45, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Irith Pomeranz, Janusz Rajski, Sudhakar M. Reddy |
Finding a Common Fault Response for Diagnosis during Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 1116, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Sandeep Kumar Goel, Bart Vermeulen |
Data invalidation analysis for scan-based debug on multiple-clock system chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETW ![In: 7th European Test Workshop, ETW 2002, Corfu, Greece, May 26-29, 2002, pp. 61-66, 2002, IEEE Computer Society, 0-7695-1715-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Masao Kubo, Masahiro Fujita |
Debug methodology for arithmetic circuits on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, FPT 2002, Hong Kong, China, December 16-18, 2002, pp. 236-242, 2002, IEEE, 0-7803-7574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Eric Roesler, Brent E. Nelson |
Debug methods for hybrid CPU/FPGA systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, FPT 2002, Hong Kong, China, December 16-18, 2002, pp. 243-250, 2002, IEEE, 0-7803-7574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Sanjeev Kumar, Kai Li 0001 |
Using Model Checking to Debug Device Firmware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OSDI ![In: 5th Symposium on Operating System Design and Implementation (OSDI 2002), Boston, Massachusetts, USA, December 9-11, 2002, 2002, USENIX Association, 978-1-4503-0111-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
16 | Fidel Muradali, Mike Ricchetti, Bart Vermeulen, Bulent I. Dervisoglu, Bob Gottlieb, Bernd Koenemann, C. J. Clark |
Reducing Time to Volume and Time to Market: Is Silicon Debug and Diagnosis the Answer? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 445-446, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Gyöngyi Szilágyi, László Harmath, Tibor Gyimóthy |
The Debug Slicing of Logic Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Cybern. ![In: Acta Cybern. 15(2), pp. 257-278, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
16 | Ted R. Lundquist, E. Delenia, J. Harroun, E. LeRoy, Chun-Cheng Tsao |
Ultra-Thinning of C4 Integrated Circuits for Backside Analysis during First Silicon Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 41(9-10), pp. 1545-1549, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Marco Rona, Gunter Krampl |
A VHDL-based virtual test concept for pre-silicon test-program debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETW ![In: 6th European Test Workshop, ETW 2001, Stockholm, Sweden, May 29 - June 1, 2001, pp. 135-139, 2001, IEEE Computer Society, 0-7695-1017-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Cindy Schiess |
Emulation: debug it in the lab - not on the floor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 33nd conference on Winter simulation, WSC 2001, Arlington, VA, USA, December 9-12, 2001, pp. 1463-1465, 2001, WSC, 0-7803-7309-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Thomas Fahringer, Krzysztof Sowa-Pieklo, J. Luitz, Hans Moritsch |
On Using SPiDER to Examine and Debug Real-World Data-Parallel Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 6th International Conference, PaCT 2001, Novosibirsk, Russia, September 3-7, 2001, Proceedings, pp. 211-225, 2001, Springer, 3-540-42522-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Bart Vermeulen, Steven Oostdijk, Frank Bouwman |
Test and debug strategy of the PNX8525 NexperiaTM digital video platform system chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001, pp. 121-130, 2001, IEEE Computer Society, 0-7803-7169-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Don Douglas Josephson, Steve Poehhnan, Vincent Govan |
Debug methodology for the McKinley processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001, pp. 451-460, 2001, IEEE Computer Society, 0-7803-7169-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Dilip K. Bhavsar, Rishan Tan |
Observability Register Architecture For Efficient Production Test And Debug Of Vlsi Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 14th International Conference on VLSI Design (VLSI Design 2001), 3-7 January 2001, Bangalore, India, pp. 385-390, 2001, IEEE Computer Society, 0-7695-0831-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Pascal Bouvier |
Visual Tools to Debug Prolog IV Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Analysis and Visualization Tools for Constraint Programming ![In: Analysis and Visualization Tools for Constraint Programming, Constrain Debugging (DiSCiPl project), pp. 177-190, 2000, Springer, 3-540-41137-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Bart Vermeulen, Gert-Jan van Rootselaar |
Silicon debug of a co-processor array for video applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, Berkeley, California, USA, November 8-10, 2000, pp. 47-52, 2000, IEEE Computer Society, 0-7695-0786-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | A. Bommireddy, Jitendra Khare, Saghir A. Shaikh, S.-T. Su |
Test and Debug of Networking SoCs: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 121-126, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Farideh Golshan |
Test and on-line debug capabilities of IEEE Std 1149.1 in UltraSPARC-III microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2000, Atlantic City, NJ, USA, October 2000, pp. 141-150, 2000, IEEE Computer Society, 0-7803-6546-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Pablo Galdámez, Declan Murphy, José M. Bernabéu-Aubán, Francesc D. Muñoz-Escoí |
Event-Based Techniques to Debug an Object Request Broker. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 13(2), pp. 133-149, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
software instrumentation, events, Fault Injection, Behavior modeling, Distributed Debugging, Object Request Broker |
16 | Greg C. Lee, Jackie C. Wu |
Debug It: A debugging practicing system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Educ. ![In: Comput. Educ. 32(2), pp. 165-179, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Harald P. E. Vranken |
Debug facilities in the TriMedia CPU64 architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETW ![In: 4th European Test Workshop, ETW 1999, Constance, Germany, May 25-28, 1999, pp. 76-81, 1999, IEEE Computer Society, 0-7695-0390-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Ana Paula Cláudio, João Duarte Cunha, Maria Beatriz Carmo |
MPVisualizer: A General Tool to Debug Message Passing Parallel Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCN ![In: High-Performance Computing and Networking, 7th International Conference, HPCN Europe 1999, Amsterdam, The Netherlands, April 12-14, 1999, Proceedings, pp. 1199-1202, 1999, Springer, 3-540-65821-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Timothy J. Wood |
The test and debug features of the AMD-K7 microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999, pp. 130-136, 1999, IEEE Computer Society, 0-7803-5753-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Richard H. Livengood, Donna Medeiros |
Design for (physical) debug for silicon microsurgery and probing of flip-chip packaged integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999, pp. 877-882, 1999, IEEE Computer Society, 0-7803-5753-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Gert-Jan van Rootselaar, Bart Vermeulen |
Silicon debug: scan chains alone are not enough. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999, pp. 892-902, 1999, IEEE Computer Society, 0-7803-5753-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Adrian Carbine, Derek Feltham |
Pentium Pro Processor Design for Test and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 15(3), pp. 77-82, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | |
Silicon Debug of Systems-on-Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 632-633, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Susan Craw, Robin Boswell, Ray Rowe |
Knowledge Refinement to Debug and Maintain a Tablet Formulation System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 9th International Conference on Tools with Artificial Intelligence, ICTAI '97, Newport Beach, CA, USA, November 3-8, 1997, pp. 446-453, 1997, IEEE Computer Society, 0-8186-8203-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Design Knowledge Based Systems, Knowledge Acquisition, Knowledge Refinement |
16 | Adrian Carbine, Derek Feltham |
Pentium® Pro Processor Design for Test and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1997, Washington, DC, USA, November 3-5, 1997, pp. 294-303, 1997, IEEE Computer Society, 0-7803-4209-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Taghi M. Khoshgoftaar, Edward B. Allen, Nishith Goel, Amit Nandi, John McMullan |
Detection of software modules with high debug code churn in a very large legacy system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: Seventh International Symposium on Software Reliability Engineering, ISSRE 1996, White Plains, NY, USA, October 30, 1996-Nov. 2, 1996, pp. 364-371, 1996, IEEE Computer Society, 0-8186-7707-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
16 | Linda J. Moore, Frank A. Scarpino |
A Software Debug Aid for High Performance Processors: A Multi-Tasking Feasibility Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1996, August 9-11, 1996, Sunnyvale, California, USA, pp. 264-275, 1996, CSREA Press, 0-9648666-4-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
16 | P. Placide, Gerard Florin, Laurence Duchien, Lionel Seinturier |
A Consistent Global State Algorithm to Debug Distributed Object-Oriented Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AADEBUG ![In: Proceedings of the Second International Workshop on Automated Debugging, AADEBUG 1995, Saint Malo, France, May 22-24, 1995, pp. 233-247, 1995, IRISA-CNRS. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
16 | Hong Hao, Rick Avra |
Structured Design-for-Debug - The SuperSPARCTM II Methodology and Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1995, Driving Down the Cost of Test, Washington, DC, USA, October 21-25, 1995, pp. 175-183, 1995, IEEE Computer Society, 0-7803-2992-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
16 | Kalon Holdbrook, Sunil Joshi, Samir Mitra, Joe Petolino, Renu Raman, Michelle Wong |
microSPARCTM: A Case Study of Scan-Based Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1994, TEST: The Next 25 Years, Washington, DC, USA, October 2-6, 1994, pp. 70-75, 1994, IEEE Computer Society, 0-7803-2103-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
16 | Jerry Katz |
A Case Study in the Use of Scan in microSparcTM Testing and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1994, TEST: The Next 25 Years, Washington, DC, USA, October 2-6, 1994, pp. 456-460, 1994, IEEE Computer Society, 0-7803-2103-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
16 | Maximilian Frey, Andreas Weininger |
Using temporal logic specifications to debug parallel programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 39(2-5), pp. 97-100, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
16 | Stephen M. Dunn, D. G. Balazich, Lawrence K. Lange, Charlotte C. Montillo |
Pattern generator card, emulation, and debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 11th IEEE VLSI Test Symposium (VTS'93), 6 Apr 1993-8 Apr 1993, Atlantic City, NJ, USA, pp. 358-360, 1993, IEEE Computer Society, 0-8186-3830-3. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
16 | Edwige E. Pissaloux, David H. Schaefer, Samir Bouaziz, Francis Devos |
MPPNL - A Petri Net based Language to design fine-grained parallel machines and debug their software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 35(1-5), pp. 231-236, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
16 | Frank A. Stomp |
Preserving Specific Properties in Programm Development: How to Debug Programs (Conference Version). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR '92, Third International Conference on Concurrency Theory, Stony Brook, NY, USA, August 24-27, 1992, Proceedings, pp. 176-191, 1992, Springer, 3-540-55822-5. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
16 | Yoshihiro Tohma, Hisashi Yamano, Morio Ohba, Raymond Jacoby |
Parameter estimation of the hyper-geometric distribution model for real test/debug data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: Second International Symposium on Software Reliability Engineering, ISSRE 1991, Austin, TX, USA, May 17-18, 1991, pp. 28-34, 1991, IEEE Computer Society, 0-8186-2143-5. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
16 | Paul Brna, Alan Bundy, Helen Pain |
A framework for the Principled Debugging of Prolog Programs: How to Debug Non-Terminating Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ALPUK ![In: Logic Programming - New Frontiers, Papers from the second ALPUK, Bristol, March 1990, pp. 22-55, 1990, Intellect Books and Kluwer Academic Publishers, 1-871516-25-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
16 | Stephen J. Garland, John V. Guttag |
Using LP to Debug Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Programming Concepts and Methods ![In: Programming concepts and methods: Proceedings of the IFIP Working Group 2.2, 2.3 Working Conference on Programming Concepts and Methods, Sea of Galilee, Israel, 2-5 April, 1990, pp. 369-386, 1990, North-Holland, 0-444-88545-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
16 | Richard I. Hartley, Kenneth Welles II, Michael J. Hartman |
A synthesis, test and debug environment for rapid prototyping of DSP designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSP ![In: Proceedings of the First International Workshop on Rapid System Prototyping, RSP 1990, Research Triangle Park, North Carolina, USA, June 4-7, 1990, pp. 205-214, 1990, IEEE Computer Society, 0-8186-2175-3. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
16 | Yoshihiro Tohma |
Models to estimate the number of faults still resident in the software after test/debug process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: Proceedings of the 13th Annual International Computer Software and Applications Conference, COMPSAC 1989, Orlando, FL, USA, 20-22 September, 1989, pp. 491-492, 1989, IEEE, 0-8186-1964-3. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
16 | Jiemin Gong, Minzhu Lu, Ning Li |
A tool to generate DEBUG program of arbitrary type of microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: Proceedings of the 13th Annual International Computer Software and Applications Conference, COMPSAC 1989, Orlando, FL, USA, 20-22 September, 1989, pp. 543-547, 1989, IEEE, 0-8186-1964-3. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
16 | Bulent I. Dervisoglu, M. A. Keil |
ATLAS/ELA: Scan-based Software Tools for Reducing System Debug Time in a State-of-the-art Workstation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989., pp. 718-721, 1989, ACM Press. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
16 | Bulent I. Dervisoglu |
Using Scan Technology for Debug and Diagnostics in a Workstation Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988, pp. 976-986, 1988, IEEE Computer Society, 0-8186-0870-6. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|