The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ECCTD"( http://dblp.L3S.de/Venues/ECCTD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ecctd

Publication years (Num. hits)
2005 (269) 2007 (258) 2009 (224) 2011 (225) 2013 (150) 2015 (113) 2017 (142) 2020 (60)
Publication types (Num. hits)
inproceedings(1433) proceedings(8)
Venues (Conferences, Journals, ...)
ECCTD(1441)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1441 publication records. Showing 1441 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Michael Peter Kennedy, Hongjia Mo, Xi Dong Experimental characterization of Arnold tongues in injection-locked CMOS LC frequency dividers with tail and direct injection. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marco Crescentini, Marco Bennati, M. Serafini, Marco Tartagni Noise folding reduction in discrete-time current sensing. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jorge Fernández-Berni, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez Image filtering by reduced kernels exploiting kernel structure and focal-plane averaging. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carlos Aristoteles De la Cruz-Blas, Michael M. Green CMOS latch based on a class-AB transconductor. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takashi Yasuno, Hisato Fujisaka, Takeshi Kamio, Kazuhisa Haeiwa A bandpass sigma-delta domain single-flux quantum wave filter. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xavier Vilasís-Cardona Cellular techniques for Ring Imaging Cherenkov detector image processing. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Damian Grzechca Simulated annealing with artificial neural network fitness function for ECG amplifier testing. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ion Vornicu, Liviu Goras On the possibilities of using a class of CNN's for texture classification. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yoko Uwate, Yoshifumi Nishio Synchronization and frustration in coupled large-scale polygonal oscillatory networks. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tamás Krébesz, Géza Kolumbán, Csaba Mate Józsa Ultra-wideband impulse radio based on pulse compression technique. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Csaba Nemes, Zoltán Nagy 0001, Péter Szolgay Efficient mapping of mathematical expressions to FPGAs: Exploring different design methodologies. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Francesco Centurelli, Pietro Monsurrò, Giuseppe Scotti, Alessandro Trifiletti A very low-voltage differential amplifier for opamp design. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mathias Faust, Chip-Hong Chang Low error bit width reduction for structural adders of FIR filters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cecilia Gimeno, Concepción Aldea, Santiago Celma, Francisco Aznar, Carlos Sánchez-Azqueta A CMOS continuous-time equalizer for short-reach optical communications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Siwen Liang, William Redman-White A linear tuning ring VCO for spectrum monitor receiver in cognitive radio applications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tuba Ayhan, Müstak Erhan Yalçin Randomly reconfigurable Cellular Neural Network. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Markku Renfors, Fredric J. Harris Highly adjustable multirate digital filters based on fast convolution. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Janne Aikio, Timo Rahkonen Utilization of distortion contribution analysis. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takashi Morie, Daisuke Atuti, Kazuki Ifuku, Yoshihiko Horio, Kazuyuki Aihara A CMOS nonlinear-map circuit array for threshold-coupled chaotic maps using pulse-modulation approach. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Borivoje Nikolic Managing variability for ultimate energy efficiency. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ali Naderi Saatlo, Serdar Özoguz A new CMOS exponential circuit with extended linear output range. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yan Chiew Wong, Wei Zhou, Ahmed O. El-Rayis, Nakul Haridas, Ahmet T. Erdogan, Tughrul Arslan Practical design strategy for two-phase step up DC-DC Fibonacci Switched-Capacitor converter. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Enrico F. Calandra, Marco Caruso, Daniele Lupo Influence of active device nonlinearities on the determination of Adler's injection-locking Q-factor. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tina Thiessen, Martin Gutschke, Philipp Blanke, Wolfgang Mathis, Franz-Erich Wolter A numerical approach for nonlinear dynamical circuits with jumps. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Agnieszka Wardzinska, Wojciech Bandurski VLSI low loss interconnects scattering parameters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1M. H. Amin, Mohamed B. Abdelhalim, Hassanein H. Amer Testing of first and second order delta-sigma converters for catastrophic faults. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yusuke Tsubaki, Yoshihiko Horio, Kazuyuki Aihara Forced chaos generator with switched CMOS active inductance. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Manuel Suarez, Víctor M. Brea 0001, Diego Cabello, F. Pozas-Flores, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez Switched-capacitor networks for scale-space generation. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jawad Saleem, Abdul Majid, Radhika Ambatipudi, Hari Babu Kotte, Kent Bertilsson High frequency full bridge converter using multilayer coreless printed circuit board step up power transformer. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1O. Ecin, Reinhard Viga, Bedrich J. Hosticka, Anton Grabmaier Signal characterization of a pulsed-wire and heat flow system at a flow sensor. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ion Vornicu, Liviu Goras On the design of a class of CNN's for ECG classification. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Macarena C. Martínez-Rodríguez, Iluminada Baturone, Piedad Brox Circuit implementation of piecewise-affine functions based on lattice representation. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sarang Kazeminia, Khayrollah Hadidi, Abdollah Khoei, Mohammad-Naghi Azarmanesh Effect of bandgap energy temperature dependence on thermal coefficient of bandgap reference voltage. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amir Eghbali, Håkan Johansson Complexity reduction in low-delay farrow-structure-based variable fractional delay FIR filters utilizing linear-phase subfilters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jean-Michel Akre, Jérôme Juillard, Mohammad Javidan, Eldar Zianbetov, Dimitri Galayko, Anton Korniienko, Éric Colinet A design approach for networks of Self-Sampled All-Digital Phase-Locked Loops. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hao Meng, Ari Paasio, Jia Sun A capacitor mismatch insensitive technique for RSD cyclic ADC. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bjorn Thorsten Thiel, Renato Negra Digitally controlled pulse-width-pulse-position modulator in an 1.2V 65 nm CMOS technology. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shakeel Ahmad, Jerzy J. Dabrowski On-chip spectral test for high-speed ADCs by ΣΔ technique. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jan Wellmann, Wolfgang Mathis, Martin Kahmann An AC Power-Standard using ZePoC-Coding and feed-forward HF-compensation. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Enis Günay, Recai Kiliç, Nimet Dahasert External and internal control applications for SC-CNN-based chaotic circuit. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Elio Consoli, Gianluca Giustolisi, Gaetano Palumbo An ultra-compact MOS model in nanometer technologies. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sébastien Darfeuille, Christophe Kelma Production test of an RF receiver chain based on ATM combining RF BIST and machine learning algorithm. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1A. Lesellier, O. Jamin, Jean-François Bercher, Olivier Venard Design, optimization and realization of an HFB-based ADC. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Firat Kaçar, Hakan Kuntman New realization of FDNR and sixth order band pass filter application. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cristina Azcona, Belén Calvo, Santiago Celma, Nicolás J. Medrano-Marqués Low-voltage low-power CMOS rail-to-rail V-I converters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sumit Adhikari, Yaseen Zaidi, Christoph Grimm 0001 Architectural mitigation for high performance energy measurement. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fernando Corinto, Alon Ascoli, Marco Gilli Heteroclinic bifurcation in memristor oscillators. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nadeem Afzal, M. Reza Sadeghifar, J. Jacob Wikner A study on power consumption of modified noise-shaper architectures for ΣΔ DACs. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lucian Mandache, Dumitru Topan, Mihai Iordache, Lucia Dumitriu, Ioana Gabriela Sirbu On the time-domain analysis of analog circuits containing nonlinear inductors. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fernando Corinto, Valentina Lanza, Alon Ascoli, Marco Gilli Synchronization in networks of FitzHugh-Nagumo neurons with memristor synapses. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hiroki Sato, Zhishan Xu, Retdian Agung Nicodimus, Shigetaka Takagi Low-power leapfrog bandpass filter with transmission zeros using integrators and resistor-based addition circuits. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takashi Kambe C-based system LSI design of a particle tracking technology. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paula López 0001, Beatriz Blanco-Filgueira, Johann Hauer Modeling and experimental results of short channel annular MOS transistors. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kiyotaka Yamamura, Takahiro Ueda Finding all solutions of piecewise-linear resistive circuits using integer programming. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rafaella Fiorelli, Alberto Villegas, Eduardo J. Peralías, Diego Vázquez, Adoración Rueda 2.4-GHz single-ended input low-power low-voltage active front-end for ZigBee applications in 90 nm CMOS. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Piotr Kmon, Pawel Grybos, Robert Szczygiel, Miroslaw Zoladz Tuning the low cut-off frequency in multichannel neural recording amplifiers by the on-chip correction DACs. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mihaela Izabela Ionita, David Cordeau, Jean-Marie Paillot, Mihai Iordache Analysis and design of an array of two differential oscillators coupled through a resistive network. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Emil Nilsson, Christer Svensson Envelope detector sensitivity and blocking characteristics. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Armin Tajalli, Yusuf Leblebici Design trade-offs in ultra-low-power CMOS and STSCL digital systems. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fahad Qureshi, Oscar Gustafsson Generation of all radix-2 fast Fourier transform algorithms using binary trees. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Benjamin Parent, Jonathan Müller, Andreas Kaiser, Andreia Cathelin Design of 10 GHz sampling rate digital FIR filters with powers-of-two coefficients. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carlos Sánchez-Azqueta, Santiago Celma A phase detection scheme for clock and data recovery applications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Radu Matei, Liviu Goras Two-dimensional filter design based on frequency mapping of analog prototype filters. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Francesco Centurelli, Pietro Monsurrò, Alessandro Trifiletti A class-AB flipped voltage follower output stage. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paolo Maffezzoni, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita, Dario D'Amore, Mauro Santomauro Behavioral phase-noise analysis of charge-pump phase-locked loops. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gaetano Palumbo, Melita Pennisi, Ramón González Carvajal Figures of merit for class AB input stages. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marko Noack, Christian Mayr 0001, Johannes Partzsch, René Schüffny Synapse dynamics in CMOS derived from a model of neurotransmitter release. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ye Tian 0005, Ying Song, Mats Erixon, Ola Tylstedt A high-ELD tolerant Continuous-Time Sigma-Delta Modulator for Bluetooth with DWA calibration. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Federico Butti, Paolo Bruschi, Massimo Piotto An automated area optimization routine for the design of very low frequency Gm-C integrators. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rares Bodnar, William Redman-White A 250W/30A fast charger for ultracapacitors with direct mains connection. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Maria Trzaska, Zdzislaw Trzaska Chaotic oscillations in fractional-order nonlinear circuit models of bipolar pulsed electroplatings. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Loucas Constantinou, Andreas Demosthenous, Peter J. Langlois, Richard H. Bayford, Iasonas F. Triantis An improved CMOS current driver for electrical impedance tomography. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Miroslav D. Lutovac, Jelena D. Certic, Ljiljana D. Milic A class of digital filters with variable cut-off based on EMQF filter sections and sharpening method. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1A. Hernandez-Morales, María Teresa Sanz-Pascual, Luis Hernández-Martínez, Santiago Celma Novel low-frequency signal conditioning circuit. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Harish Balasubramaniam, Klaus Hofmann Design of digitally assisted 1.5b/stage pipeline ADCs using fully differential current conveyors. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mireia Vinyoles-Serra, Xavier Vilasís-Cardona Response of the two neuron cellular neural network to time dependent inputs. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Akio Tsuneda, Takashi Yoshida Performance evaluation of asynchronous DS/CDMA communications using unipolar codes. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Angela Slavova, Victoria Rashkova A novel CNN based image denoising model. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takahiro Aoki, Tadashi Tsubone Control of inter-spike-interval density of piecewise-constant chaotic spiking oscillator with dead-time. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Keith Finnerty, John Dooley, Ronan Farrell Digital quadrature mixing of lowpass sigma-delta modulators for switch-mode power amplifiers. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Peter Nilsson 0001, Erik Hertz Ultra low power hardware for computing Squared Euclidean Distances. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tomoya Shima, Chihiro Ikuta, Yoko Uwate, Yoshifumi Nishio Investigation of recall image by Partitioned Hopfield Neural Network. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Adam Dabrowski, Damian Cetnarowicz, Pawel Pawlowski, Mateusz Stankiewicz People recognition and tracking methods for control of viewpoint in CCTV systems. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1João S. Altermann, Eduardo A. C. da Costa, Sérgio J. M. de Almeida High performance Haar Wavelet transform architecture. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Valeriu Beiu, Walid Ibrahim, Azam Beg, Liren Zhang, Mihai Tache On axon-inspired communications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masahiro Fukui, Haruo Miki, Masaya Yoshikawa, Shuji Tsukiyama A power grid optimization algorithm considering via reliability. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hatem Osman, Ahmed Emira, Ahmed Nader Mohieldin, Mohamed Abouzied, Ahmed Soliman On-chip high-Q bandpass filtering using N-phase current driven passive mixers. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zaka Ullah Sheikh, Amir Eghbali, Håkan Johansson Linear-phase FIR digital differentiator order estimation. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alban Gruget, Morgan Roger, Van Tam Nguyen 0001, Caroline Lelandais-Perrault, Philippe Bénabès, Patrick Loumeau Optimization of bandpass charge sampling filters in hybrid filter banks converters for cognitive radio applications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tamás Zsedrovits, Ákos Zarándy, Bálint Vanek, Tamas Peni, Jozsef Bokor, Tamás Roska Visual Detection and Implementation Aspects of a UAV See and Avoid System. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1S. A. Fatemi, H. Moradi Cheshmehbeigi, Ebrahim Afjei Self-tuning approach to optimization of excitation angles for switched-reluctance motor drives. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yngvar Berg, Omid Mirmotahari Ultra low voltage and high speed CMOS carry generate circuits. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jiming Jiang, David M. Holburn Design and analysis of a low-power highly linear mixer. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fausto Sargeni, Vincenzo Bonaiuto Programmable non-linearity for STAR cellular neural networks. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Golnar Khodabndehloo, Mitra Mirhassani, Majid Ahmadi An area-speed efficient method for current mode analog to digital converters. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michael Hutter, Jörn-Marc Schmidt, Thomas Plos Contact-based fault injections and power analysis on RFID tags. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dalibor Biolek, Viera Biolková, Zdenek Kolka, Josef Bajer Single-input multi-output resistorless current-mode biquad. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mahmoud Zangeneh, Nasser Masoumi An analytical delay reduction strategy for buffer-inserted global interconnects in VDSM technologies. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Matteo Contaldo, Christian C. Enz Analysis of a novel BAW-based power amplifier. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Devrim Yilmaz Aksin, Pinar Basak Basyurt, Hayri Ugur Uyanik Single-ended input four-quadrant multiplier for analog neural networks. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1441 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license