The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Leandro Andrade Martinez, Eduardo Marques A hardware/software codesign framework for vision-based ADAS. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Thomas Townsend, Brent E. Nelson, Michael J. Wirthlin An XDL alternative for interfacing RapidSmith and Vivado. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmet Caner Yuzuguler, William Andrew Simon, Aya Ibrahim, Federico Angiolini, Marcel Arditi, Jean-Philippe Thiran, Giovanni De Micheli Single-FPGA 3D ultrasound beamformer. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Charalabos Kritikakis, Grigorios Chrysos 0001, Apostolos Dollas, Dionisios N. Pnevmatikatos An FPGA-based high-throughput stream join architecture. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pierre-Henri Horrein, Benoit Porteboeuf, André Lalevee Ouessant: Microcontroller approach for flexible accelerator integration and control in System-on-Chip. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Que Yanghua, Harnhua Ng, Nachiket Kapre Boosting convergence of timing closure using feature selection in a Learning-driven approach. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jeffrey Goeders, Steven J. E. Wilton Quantifying observability for in-system debug of high-level synthesis circuits. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dimitris Agiakatsikas, Ediz Çetin, Oliver Diessel FMER: A hybrid configuration memory error recovery scheme for highly reliable FPGA SoCs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daniel Fenzandez, Carlos González 0002, Daniel Mozos Dimensionality reduction of hyperspectral images using reconfigurable hardware. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shrikant Vyas, Naveen Kumar Dumpala, Russell Tessier, Daniel E. Holcomb Improving the efficiency of PUF-based key generation in FPGAs using variation-aware placement. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hugo Fernandes, M. Awais Aslam, Jorge Lobo 0002, João Filipe Ferreira, Jorge Dias 0001 Bayesian inference implemented on FPGA with stochastic bitstreams for an autonomous robot. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ibrahim Ahmed 0001, Shuze Zhao, Olivier Trescases, Vaughn Betz Measure twice and cut once: Robust dynamic voltage scaling for FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ioannis Parnassos, Panagiotis Skrimponis, Georgios Zindros, Nikolaos Bellas SoCLog: A real-time, automatically generated logging and profiling mechanism for FPGA-based Systems On Chip. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paul Grigoras, Pavel Burovskiy, Wayne Luk, Spencer J. Sherwin Optimising Sparse Matrix Vector multiplication for large scale FEM problems on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei Liang, Wenbo Yin, Ping Kang, Lingli Wang Memory efficient and high performance key-value store on FPGA using Cuckoo hashing. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farheen Fatima Khan, Andy Gean Ye An evaluation on the accuracy of the minimum width transistor area models in ranking the layout area of FPGA architectures. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nachiket Kapre, Samuel Bayliss Survey of domain-specific languages for FPGA computing. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jan Viktorin, Jan Korenek Packet processing on FPGA SoC with DPDK. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat Towards a hardware-assisted information flow tracking ecosystem for ARM processors. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ilya Ganusov, Henri Fraisse, Aaron Ng, Rafael Trapani Possignolo, Sabya Das Automated extra pipeline analysis of applications mapped to Xilinx UltraScale+ FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Konstantinos Boikos, Christos-Savvas Bouganis Semi-dense SLAM on an FPGA SoC. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1William Andrew Simon, Ahmet Caner Yuzuguler, Aya Ibrahim, Federico Angiolini, Marcel Arditi, Jean-Philippe Thiran, Giovanni De Micheli Single-FPGA, scalable, low-power, and high-quality 3D ultrasound beamformer. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Srikanth Sridharan, Paolo Durante, Christian Faerber, Niko Neufeld Accelerating particle identification for high-speed data-filtering using OpenCL on FPGAs and other architectures. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dong Liu, Benjamin Carrión Schäfer Efficient and reliable High-Level Synthesis Design Space Explorer for FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ren Chen, Viktor K. Prasanna Optimizing interconnection complexity for realizing fixed permutation in data and signal processing algorithms. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ilya Ganusov, Benjamin Devlin Time-borrowing platform in the Xilinx UltraScale+ family of FPGAs and MPSoCs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yubin Li, Yuliang Sun, Guohao Dai, Qiang Xu 0001, Yu Wang 0002, Huazhong Yang Approximate Frequent Itemset Mining for streaming data on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ze-ke Wang, Johns Paul, Hui Yan Cheah, Bingsheng He, Wei Zhang 0012 Relational query processing on OpenCL-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Liang Feng 0001, Hao Liang 0003, Sharad Sinha, Wei Zhang 0012 HeteroSim: A heterogeneous CPU-FPGA simulator. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arief Wicaksana, Alban Bourge, Olivier Muller, Frédéric Rousseau 0001 Demonstration of a context-switch method for heterogeneous reconfigurable systems. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Akif Ozkan, Oliver Reiche, Frank Hannig, Jürgen Teich FPGA-based accelerator design from a domain-specific language. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Benjamin Drozdenko, Matthew Zimmermann, Tuan Dao, Kaushik R. Chowdhury, Miriam Leeser Modeling considerations for the hardware-software co-design of flexible modern wireless transceivers. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yusuke Matsushita 0001, Hayate Okuhara, Koichiro Masuyama, Yu Fujita, Ryuta Kawano, Hideharu Amano Body bias grain size exploration for a coarse grained reconfigurable accelerator. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pietro Fezzardi, Fabrizio Ferrandi Automated bug detection for pointers and memory accesses in High-Level Synthesis compilers. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Burak Unal, Ali Akoglu Resource efficient real-time processing of Contrast Limited Adaptive Histogram Equalization. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Martin Kumm, Marco Kleinlein, Peter Zipf Efficient sum of absolute difference computation on FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohamed S. Abdelfattah, Vaughn Betz LYNX: CAD for FPGA-based networks-on-chip. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kaan Kara, Gustavo Alonso Fast and robust hashing for database operators. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Eriko Nurvitadhi, Jaewoong Sim, David Sheffield, Asit K. Mishra, Krishnan Srivatsan, Debbie Marr Accelerating recurrent neural networks in analytics servers: Comparison of FPGA, CPU, GPU, and ASIC. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jotham Vaddaboina Manoranjan, Kenneth S. Stevens Reconfigurable circuit for implementation of family of 4-phase latch protocols. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yanzhe Li, Kai Huang 0002, Luc Claesen SoC and FPGA oriented high-quality stereo vision system. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Esam El-Araby, Nader M. Namazi Chaotic architectures for secure free-space optical communication. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Antonios Prodromakis, Nikolaos Papandreou, Eleni Bougioukou, Urs Egger, Nikos Toulgaridis, Theodore Antonakopoulos 0001, Haralampos Pozidis, Evangelos Eleftheriou Controller architecture for low-latency access to phase-change memory in OpenPOWER systems. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Size Xiao, Adam Postula, Neil W. Bergmann Optimal random sampling based path planning on FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Baptiste Delporte, Anthony Convers, Roberto Rigamonti, Alberto Dassatti Transparent FPGA flow. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fellipe Montero, Guy Bois, Eric Jenn, Kevin Duplantier Architectural exploration and implementation of an image processing chain with SpaceStudio™. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hao Zhou, Xinyu Niu, Junqi Yuan, Lingli Wang, Wayne Luk Connect on the fly: Enhancing and prototyping of cycle-reconfigurable modules. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Furkan Turan, Ruan de Clercq, Pieter Maene, Oscar Reparaz, Ingrid Verbauwhede Hardware acceleration of a software-based VPN. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Junshi Hotate, Takashi Kishimoto, Toshiki Higashi, Hiroyuki Ochi, Ryutaro Doi, Munehiro Tada, Tadahiko Sugibayashi, Kazutoshi Wakabayashi, Hidetoshi Onodera, Yukio Mitsuyama, Masanori Hashimoto A highly-dense mixed grained reconfigurable architecture with overlay crossbar interconnect using via-switch. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Malte Vesper, Dirk Koch, Kizheppatt Vipin, Suhaib A. Fahmy JetStream: An open-source high-performance PCI Express 3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jori Winderickx, Joan Daemen, Nele Mentens Exploring the use of shift register lookup tables for Keccak implementations on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kumar H. B. Chethan, Nachiket Kapre Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sidharth Maheshwari, Gourav Modi, Siddhartha 0001, Nachiket Kapre Vector FPGA acceleration of 1-D DWT computations using sparse matrix skeletons. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ismail San, Nicole Fern, Çetin Kaya Koç, Kwang-Ting Cheng Trojans modifying soft-processor instruction sequences embedded in FPGA bitstreams. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mario Ruiz, Javier Ramos 0002, Gustavo Sutter, Sergio López-Buedo, Jorge E. López de Vergara, C. Sisterna Harnessing Programmable SoCs to develop cost-effective network quality monitoring devices. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sichao Wang, Tsutomu Maruyama An implementation method of the box filter on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhenzhong Xiao, Dirk Koch, Mikel Luján A partial reconfiguration controller for Altera Stratix V FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Petter Kallstrom, Oscar Gustafsson Fast and area efficient adder for wide data in recent Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Ienne, Walid A. Najjar, Jason Helge Anderson, Philip Brisk, Walter Stechele (eds.) 26th International Conference on Field Programmable Logic and Applications, FPL 2016, Lausanne, Switzerland, August 29 - September 2, 2016 Search on Bibsonomy FPL The full citation details ... 2016 DBLP  BibTeX  RDF
1Tuan D. A. Nguyen, Akash Kumar 0001 XNoC: A non-intrusive TDM circuit-switched Network-on-Chip. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David Sidler, Zsolt István, Gustavo Alonso Low-latency TCP/IP stack for data center applications. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Julian Oppermann, Andreas Koch 0001, Ting Yu 0012, Oliver Sinnen Domain-specific optimisation for the high-level synthesis of CellML-based simulation accelerators. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jian Yan 0002, Jifang Jin, Ying Wang 0032, Xuegong Zhou, Philip H. W. Leong, Lingli Wang UniStream: A unified stream architecture combining configuration and data processing. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel Llamocca, Brian K. Dean A scalable pipelined architecture for biomimetic vision sensors. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Qi Chen, Qiang Liu 0011 Pipelined NoC router architecture design with buffer configuration exploration on FPGA. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tahsin Turker Mutlugun, Sheng-De Wang OpenCL computing on FPGA using multiported shared memory. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaobin Liu, Tedy Thomas, Alan Boguslawski, Russell Tessier Adaptive MRAM-based CGRAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nikolaos Alachiotis 0001 Generating FPGA accelerators for chemical similarity assessment. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andre Prata, Arnaldo S. R. Oliveira, Nuno Borges Carvalho FPGA-based all-digital Software Defined Radio receiver. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chin Hau Hoo, Akash Kumar 0001, Yajun Ha ParaLaR: A parallel FPGA router based on Lagrangian relaxation. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nicholas J. Fraser, Duncan J. M. Moss, JunKyu Lee, Stephen Tridgell, Craig T. Jin, Philip Heng Wai Leong A fully pipelined kernel normalised least mean squares processor for accelerated parameter optimisation. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Tsutomu Sasao A deep convolutional neural network based on nested residue number system. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris Rapid prototyping and Design Space Exploration methodologies for many-accelerator systems. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yun Rock Qu, Viktor K. Prasanna Power-efficient range-match-based packet classification on FPGA. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Carlos González 0002, Daniel Mozos, Sebastián López, Roberto Sarmiento FPGA implementation to estimate the number of endmembers in hyperspectral images. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Edoardo Fusella, Alessandro Cilardo, Antonino Mazzeo Scheduling-aware interconnect synthesis for FPGA-based Multi-Processor Systems-on-Chip. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Noa Zilberman, Yury Audzevich, Georgina Kalogeridou, Neelakandan Manihatty Bojan, Jingyun Zhang, Andrew W. Moore 0002 NetFPGA - rapid prototyping of high bandwidth devices in open source. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ren Chen, Viktor K. Prasanna Automatic generation of high throughput energy efficient streaming architectures for arbitrary fixed permutations. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shengjia Shao, Liucheng Guo, Ce Guo, Thomas C. P. Chau, David B. Thomas, Wayne Luk, Stephen Weston Recursive pipelined genetic propagation for bilevel optimisation. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Hosseinabady, José Luis Núñez-Yáñez Optimised OpenCL workgroup synthesis for hybrid ARM-FPGA devices. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mark Wijtvliet, Shakith Fernando, Henk Corporaal SPINE: From C loop-nests to highly efficient accelerators using Algorithmic Species. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sen Ma, Zeyad Aklah, David Andrews 0001 A run time interpretation approach for creating custom accelerators. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1João Carlos Resende, Ricardo Chaves Compact dual block AES core on FPGA for CCM Protocol. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nithin George, HyoukJoong Lee, David Novo, Muhsen Owaida, David Andrews 0001, Kunle Olukotun, Paolo Ienne Automatic support for multi-module parallelism from computational patterns. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Teng Xu 0001, Hongxiang Gu, Miodrag Potkonjak Data protection using recursive inverse function. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sang Woo Jun, Ming Liu, Shuotao Xu, Arvind A transport-layer network for distributed FPGA platforms. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Libo Huang, Yongwen Wang, Qiang Dou, Chengyi Zhang, Caixia Sun, Chao Xu Fast FPGA system for microarchitecture optimization on synthesizable modern processor design. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Berg Severens, Elias Vansteenkiste, Karel Heyse, Dirk Stroobandt Estimating circuit delays in FPGAs after technology mapping. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1He Qi, Oluseyi A. Ayorinde, Yu Huang 0015, Benton H. Calhoun Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aaron Mills, Pei Zhang 0009, Sudhanshu Vyas, Joseph Zambreno, Phillip H. Jones A software configurable coprocessor-based state-space controller. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Oluseyi A. Ayorinde, He Qi, Yu Huang 0015, Benton H. Calhoun Using island-style bi-directional intra-CLB routing in low-power FPGAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1João Andrade, Nithin George, Kimon Karras, David Novo, Vítor Manuel Mendes da Silva, Paolo Ienne, Gabriel Falcão Paiva Fernandes From low-architectural expertise up to high-throughput non-binary LDPC decoders: Optimization guidelines using high-level synthesis. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Henry Block, Tsutomu Maruyama An FPGA implementation of a phylogenetic tree reconstruction algorithm using an alternative second-pass optimization. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marco Minutoli, Vito Giovanni Castellana, Antonino Tumeo, Fabrizio Ferrandi Inter-procedural resource sharing in High Level Synthesis through function proxies. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jiasen Huang, Weina Lu, Junyan Ren Greedy approach based heuristics for partitioning SpMxV on FPGAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rui Fiel Cordeiro, Arnaldo S. R. Oliveira, José M. N. Vieira FPGA-based all-digital transmitters. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu Fine-tuning CLB placement to speed up reconfigurations in NVM-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zeping Xue, David B. Thomas SysAlloc: A hardware manager for dynamic memory allocation in heterogeneous systems. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rui Fiel Cordeiro, Andre Prata, Arnaldo S. R. Oliveira, Nuno Borges Carvalho, José M. N. Vieira FPGA-based all-digital software defined radio system demonstration. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrei-Dumitru Oancea, Christian Stüllein, Jano Gebelein, Udo Kebschull A resilient, flash-free soft error mitigation concept for the CBM-ToF read-out chain via GBT-SCA. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license