The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HPCA"( http://dblp.L3S.de/Venues/HPCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hpca

Publication years (Num. hits)
1995 (37) 1996 (30) 1997 (31) 1998 (32) 1999 (43) 2000 (36) 2001 (27) 2002 (29) 2003 (35) 2004 (28) 2005 (36) 2006 (32) 2007 (33) 2008 (38) 2009 (40) 2010 (40) 2011 (49) 2012 (40) 2013 (56) 2014 (59) 2015 (56) 2016 (58) 2017 (56) 2018 (63) 2019 (57) 2020 (55) 2021 (70) 2022 (86) 2023 (95) 2024 (82)
Publication types (Num. hits)
inproceedings(1399) proceedings(30)
Venues (Conferences, Journals, ...)
HPCA(1429)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 335 occurrences of 238 keywords

Results
Found 1429 publication records. Showing 1429 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sunjae Park, Milos Prvulovic, Christopher J. Hughes PleaseTM: Enabling transaction conflict management in requester-wins hardware transactional memory. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sui Chen, Lu Peng 0001 Efficient GPU hardware transactional memory through early conflict resolution. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hasan Hassan, Gennady Pekhimenko, Nandita Vijaykumar, Vivek Seshadri, Donghyuk Lee, Oguz Ergin, Onur Mutlu ChargeCache: Reducing DRAM latency by exploiting row access locality. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minseok Lee, Gwangsun Kim, John Kim, Woong Seo, Yeon-Gon Cho, Soojung Ryu iPAWS: Instruction-issue pattern-based adaptive warp scheduling for GPGPUs. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arthur Perais, André Seznec Cost effective physical register sharing. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jianbo Dong, Rui Hou 0001, Michael C. Huang 0001, Tao Jiang 0010, Boyan Zhao, Sally A. McKee, Haibin Wang, Xiaosong Cui, Lixin Zhang 0002 Venice: Exploring server architectures for effective resource sharing. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Liang Luo, Akshitha Sriraman, Brooke Fugate, Shiliang Hu, Gilles Pokam, Chris J. Newburn, Joseph Devietti LASER: Light, Accurate Sharing dEtection and Repair. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fangfei Liu, Qian Ge 0001, Yuval Yarom, Frank McKeen, Carlos V. Rozas, Gernot Heiser, Ruby B. Lee CATalyst: Defeating last-level cache side channel attacks in cloud computing. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Poovaiah M. Palangappa, Kartik Mohanram CompEx: Compression-expansion coding for energy, latency, and lifetime improvements in MLC/TLC NVM. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andrew Herdrich, Edwin Verplanke, Priya Autee, Ramesh Illikkal, Chris Gianos, Ronak Singhal, Ravi R. Iyer 0001 Cache QoS: From concept to reality in the Intel® Xeon® processor E5-2600 v3 product family. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sungyong Seo, Youngjin Cho, Youngkwang Yoo, Otae Bae, Jaegeun Park, Heehyun Nam, Sunmi Lee, Yongmyung Lee, Seungdo Chae, Moonsang Kwon, Jin-Hyeok Choi, Sangyeun Cho, Jaeheon Jeong, Duckhyun Chang Design and implementation of a mobile storage leveraging the DRAM interface. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andrew Ferraiuolo, Yao Wang 0008, Danfeng Zhang, Andrew C. Myers, G. Edward Suh Lattice priority scheduling: Low-overhead timing-channel protection for a shared memory controller. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Divya Mahajan 0001, Jongse Park, Emmanuel Amaro, Hardik Sharma, Amir Yazdanbakhsh, Joon Kyung Kim, Hadi Esmaeilzadeh TABLA: A unified template-based framework for accelerating statistical machine learning. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gennady Pekhimenko, Evgeny Bolotin, Nandita Vijaykumar, Onur Mutlu, Todd C. Mowry, Stephen W. Keckler A case for toggle-aware compression for GPU systems. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hongil Yoon, Gurindar S. Sohi Revisiting virtual L1 caches: A practical design using dynamic synonym remapping. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ze-ke Wang, Bingsheng He, Wei Zhang 0012, Shunning Jiang A performance analysis framework for optimizing OpenCL applications on FPGAs. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mingyu Gao 0001, Christos Kozyrakis HRL: Efficient and flexible reconfigurable logic for near-data processing. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Keunsoo Kim, Sangpil Lee, Myung Kuk Yoon, Gunjae Koo, Won Woo Ro, Murali Annavaram Warped-preexecution: A GPU pre-execution approach for improving latency hiding. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kevin K. Chang, Prashant J. Nair, Donghyuk Lee, Saugata Ghose, Moinuddin K. Qureshi, Onur Mutlu Low-Cost Inter-Linked Subarrays (LISA): Enabling fast inter-subarray data movement in DRAM. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 2016 IEEE International Symposium on High Performance Computer Architecture, HPCA 2016, Barcelona, Spain, March 12-16, 2016 Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  BibTeX  RDF
1Zhen Hang Jiang, Yunsi Fei, David R. Kaeli A complete key recovery timing attack on a GPU. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tony Nowatzki, Vinay Gangadhar, Karthikeyan Sankaralingam, Greg Wright Pushing the limits of accelerator efficiency while retaining programmability. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David J. Palframan, Nam Sung Kim, Mikko H. Lipasti iPatch: Intelligent fault patching to improve energy efficiency. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Neha Agarwal, David W. Nellans, Mike O'Connor, Stephen W. Keckler, Thomas F. Wenisch Unlocking bandwidth for GPUs in CC-NUMA systems. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero VSR sort: A novel vectorised sorting algorithm & architecture extensions for future microprocessors. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Minshu Zhao, Donald Yeung Studying the impact of multicore processor scaling on directory techniques via reuse distance analysis. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad A. Islam 0001, A. Hasan Mahmud, Shaolei Ren, Xiaorui Wang Paying to save: Reducing cost of colocation data center via rewards. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sean Franey, Mikko H. Lipasti Tag tables. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Mahdad Davari, Stefanos Kaxiras Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Henry Duwe, Xun Jian 0002, Rakesh Kumar 0002 Correction prediction: Reducing error correction latency for on-chip memories. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dong Li, Minsoo Rhu, Daniel R. Johnson, Mike O'Connor, Mattan Erez, Doug Burger, Donald S. Fussell, Stephen W. Redder Priority-based cache allocation in throughput processors. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuhao Zhu 0001, Matthew Halpern, Vijay Janapa Reddi Event-based scheduling for energy-efficient QoS (eQoS) in mobile Web applications. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arthur Perais, André Seznec BeBoP: A cost effective predictor infrastructure for superscalar value prediction. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chang-Hong Hsu, Yunqi Zhang, Michael A. Laurenzano, David Meisner, Thomas F. Wenisch, Jason Mars, Lingjia Tang, Ronald G. Dreslinski Adrenaline: Pinpointing and reining in tail queries with quick voltage boosting. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Prashant J. Nair, Chia-Chen Chou, Bipin Rajendran, Moinuddin K. Qureshi Reducing read latency of phase change memory via early read and Turbo Read. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaolong Xie, Yun Liang 0001, Yu Wang 0002, Guangyu Sun 0003, Tao Wang 0004 Coordinated static and dynamic cache bypassing for GPUs. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaodong Wang, José F. Martínez XChange: A market-based approach to scalable dynamic multi-resource allocation in multicore architectures. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Thierry Moreau, Mark Wyse, Jacob Nelson 0001, Adrian Sampson, Hadi Esmaeilzadeh, Luis Ceze, Mark Oskin SNNAP: Approximate computing on programmable SoCs via neural acceleration. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xinxin Jin, Soyeon Park, Tianwei Sheng, Rishan Chen, Zhiyong Shan, Yuanyuan Zhou 0001 FTXen: Making hypervisor resilient to hardware faults on relaxed cores. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manish Arora, Srilatha Manne, Indrani Paul, Nuwan Jayasena, Dean M. Tullsen Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on CPU-GPU Integrated systems. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel Lo, Tao Chen 0045, Mohamed Ismail, G. Edward Suh Run-time monitoring with adjustable overhead using dataflow-guided filtering. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mitesh R. Meswani, Sergey Blagodurov, David Roberts, John Slice, Mike Ignatowski, Gabriel H. Loh Heterogeneous memory architectures: A HW/SW approach for mixing die-stacked and off-package memories. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sam Likun Xi, Hans M. Jacobson, Pradip Bose, Gu-Yeon Wei, David M. Brooks Quantifying sources of error in McPAT and potential impacts on architectural studies. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nathan Beckmann, Daniel Sánchez 0003 Talus: A simple way to remove cliffs in cache performance. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ikki Fujiwara, Michihiro Koibuchi, Tomoya Ozaki, Hiroki Matsutani, Henri Casanova Augmenting low-latency HPC network with free-space optical links. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jingwen Leng, Yazhou Zu, Vijay Janapa Reddi GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie 0001, Vijaykrishnan Narayanan Architecture exploration for ambient energy harvesting nonvolatile processors. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hao Wang 0011, Chang-Jae Park, Gyungsu Byun, Jung Ho Ahn, Nam Sung Kim Alloy: Parallel-serial memory channel architecture for single-chip heterogeneous processor systems. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cong Xu, Dimin Niu, Naveen Muralimanohar, Rajeev Balasubramonian, Tao Zhang 0032, Shimeng Yu, Yuan Xie 0001 Overcoming the challenges of crossbar resistive memory architectures. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Devesh Tiwari, Saurabh Gupta 0002, James H. Rogers, Don Maxwell, Paolo Rech, Sudharshan S. Vazhkudai, Daniel Oliveira 0002, Dave Londo, Nathan DeBardeleben, Philippe Olivier Alexandre Navaux, Luigi Carro, Arthur S. Bland Understanding GPU errors on large-scale HPC systems and the implications for system design and operation. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Casen Hunger, Mikhail Kazdagli, Ankit Singh Rawat, Alexandros G. Dimakis, Sriram Vishwanath, Mohit Tiwari Understanding contention-based channels and using them for defense. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Meltem Ozsoy, Caleb Donovick, Iakov Gorelik, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev Malware-aware processors: A framework for efficient online malware detection. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gene Y. Wu, Joseph L. Greathouse, Alexander Lyashevsky, Nuwan Jayasena, Derek Chiou GPGPU performance and power estimation using machine learning. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nachiappan Chidambaram Nachiappan, Praveen Yedlapalli, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravishankar R. Iyer 0001, Chita R. Das Domain knowledge based energy management in handhelds. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Beayna Grigorian, Nazanin Farahpour, Glenn Reinman BRAINIAC: Bringing reliable accuracy into neurally-implemented approximate computing. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lizhong Chen, Di Zhu 0002, Massoud Pedram, Timothy Mark Pinkston Power punch: Towards non-blocking power-gating of NoC routers. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 21st IEEE International Symposium on High Performance Computer Architecture, HPCA 2015, Burlingame, CA, USA, February 7-11, 2015 Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  BibTeX  RDF
1Nikolaos Chrysos, Cyriel Minkenberg, Mark Rudquist, Claude Basso, Brian Vanderpool SCOC: High-radix switches made of bufferless clos networks. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Young Hoon Son, Sukhan Lee 0002, Seongil O, Sanghyuk Kwon, Nam Sung Kim, Jung Ho Ahn CiDRA: A cache-inspired DRAM resilience architecture. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, Samira Manabi Khan, Vivek Seshadri, Kevin Kai-Wei Chang, Onur Mutlu Adaptive-latency DRAM: Optimizing DRAM timing for the common-case. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rakan Maddah, Seyed Mohammad Seyedzadeh, Rami G. Melhem CAFO: Cost aware flip optimization for asymmetric memories. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aamer Jaleel, Joseph Nuzman, Adrian Moga, Simon C. Steely Jr., Joel S. Emer High performing cache hierarchies for server workloads: Relaxing inclusion to capture the latency benefits of exclusive caches. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lucia G. Menezo, Valentin Puente, José-Ángel Gregorio Flask coherence: A morphable hybrid coherence protocol to balance energy, performance and scalability. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jungrae Kim, Michael B. Sullivan 0001, Mattan Erez Bamboo ECC: Strong, safe, and flexible codes for reliable computer memory. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Misel-Myrto Papadopoulou, Xin Tong 0005, André Seznec, Andreas Moshovos Prediction-based superpage-friendly TLB designs. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jongmin Won, Gwangsun Kim, John Kim, Ted Jiang, Mike Parker, Steve Scott Overcoming far-end congestion in large-scale networks. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amin Farmahini Farahani, Jung Ho Ahn, Katherine Morrow, Nam Sung Kim NDA: Near-DRAM acceleration architecture leveraging commodity DRAM devices and standard memory modules. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sarah Neuwirth, Dirk Frey, Mondrian Nuessle, Ulrich Brüning 0001 Scalable communication architecture for network-attached accelerators. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nathan Beckmann, Po-An Tsai, Daniel Sánchez 0003 Scaling distributed cache hierarchies through computation and data co-scheduling. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Víctor Jiménez, Alper Buyuktosunoglu, Pradip Bose, Francis P. O'Connell, Francisco J. Cazorla, Mateo Valero Increasing multicore system efficiency through intelligent bandwidth shifting. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ming Liu 0006, Tao Li 0006, Neo Jia, Andy Currid, Vladimir Troy Understanding the virtualization "Tax" of scale-out pass-through GPUs in GaaS clouds: An empirical study. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vinicius Petrucci, Michael A. Laurenzano, John Doherty, Yunqi Zhang, Daniel Mossé, Jason Mars, Lingjia Tang Octopus-Man: QoS-driven task management for heterogeneous multicores in warehouse-scale computers. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Cai 0001, Yixin Luo, Erich F. Haratsch, Ken Mai, Onur Mutlu Data retention in MLC NAND flash memory: Characterization, optimization, and recovery. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nandhini Chandramoorthy, Giuseppe Tagliavini, Kevin M. Irick, Antonio Pullini, Siddharth Advani, Sulaiman Al Habsi, Matthew Cotter, John Sampson, Vijaykrishnan Narayanan, Luca Benini Exploring architectural heterogeneity in intelligent vision systems. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dong-Wan Kim, Mattan Erez Balancing reliability, cost, and performance tradeoffs with FreeFault. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ankit Sethia, Davoud Anoushe Jamshidi, Scott A. Mahlke Mascar: Speeding up GPU warps by reducing memory pitstops. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Du, Miao Zhou, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Supporting superpages in non-contiguous physical memory. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gennady Pekhimenko, Tyler Huberty, Rui Cai, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Exploiting compressed block size as an indicator of future reuse. Search on Bibsonomy HPCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Shafiee, Meysam Taassori, Rajeev Balasubramonian, Al Davis MemZip: Exploring unconventional benefits from memory compression. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dibakar Gope, Mikko H. Lipasti Atomic SC for simple in-order processors. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ping Xiang, Yi Yang 0018, Huiyang Zhou Warp-level divergence in GPUs: Characterization, impact, and mitigation. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wongyu Shin, Jeongmin Yang, Jungwhan Choi, Lee-Sup Kim NUAT: A non-uniform access time memory controller. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Wang 0004, Jianfeng Zhan, Chunjie Luo, Yuqing Zhu 0001, Qiang Yang 0012, Yongqiang He, Wanling Gao, Zhen Jia 0001, Yingjie Shi, Shujie Zhang, Chen Zheng, Gang Lu, Kent Zhan, Xiaona Li, Bizhu Qiu BigDataBench: A big data benchmark suite from internet services. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yutao Liu, Yubin Xia, Haibing Guan, Binyu Zang, Haibo Chen 0001 Concurrent and consistent virtual machine introspection with hardware transactional memory. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhe Wang 0023, Daniel A. Jiménez, Cong Xu, Guangyu Sun 0003, Yuan Xie 0001 Adaptive placement and migration policy for an STT-RAM-based hybrid cache. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Wong 0001, Murali Annavaram Implications of high energy proportional servers on cluster-wide energy proportionality. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wenli Zheng, Kai Ma, Xiaorui Wang Exploiting thermal energy storage to reduce data center capital and operating expenses. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1George Kurian, Srinivas Devadas, Omer Khan Locality-aware data replication in the Last-Level Cache. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mingli Xie, Dong Tong 0001, Kan Huang, Xu Cheng 0001 Improving system throughput and fairness simultaneously in shared memory CMP systems via Dynamic Bank Partitioning. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Myoungsoo Jung, Mahmut T. Kandemir Sprinkler: Maximizing resource utilization in many-chip solid state disks. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Binh Pham 0003, Abhishek Bhattacharjee, Yasuko Eckert, Gabriel H. Loh Increasing TLB reach by exploiting clustering in page translations. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tao Zhang 0032, Matthew Poremba, Cong Xu, Guangyu Sun 0003, Yuan Xie 0001 CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nagesh B. Lakshminarayana, Hyesoon Kim Spare register aware prefetching for graph algorithms on GPUs. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arthur Perais, André Seznec Practical data value speculation for future high-end processors. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seth H. Pugsley, Zeshan Chishti, Chris Wilkerson, Peng-fei Chuang, Robert L. Scott, Aamer Jaleel, Shih-Lien Lu, Kingsum Chow, Rajeev Balasubramonian Sandbox Prefetching: Safe run-time evaluation of aggressive prefetchers. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Junwhan Ahn, Sungjoo Yoo, Kiyoung Choi DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Samira Manabi Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, Daniel A. Jiménez Improving cache performance using read-write partitioning. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hanjoon Kim, Gwangsun Kim, Seungryoul Maeng, Hwasoo Yeo, John Kim Transportation-network-inspired network-on-chip. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sotiria Fytraki, Evangelos Vlachos, Yusuf Onur Koçberber, Babak Falsafi, Boris Grot FADE: A programmable filtering accelerator for instruction-grain monitoring. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kevin Kai-Wei Chang, Donghyuk Lee, Zeshan Chishti, Alaa R. Alameldeen, Chris Wilkerson, Yoongu Kim, Onur Mutlu Improving DRAM performance by parallelizing refreshes with accesses. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1429 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license