The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sonal Pinto, Michael S. Hsiao Fast Search-Based RTL Test Generation Using Control-Flow Path Guidance. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mingzhe Zhang, Lunkai Zhang, Lei Jiang 0001, Frederic T. Chong, Zhiyong Liu 0002 Quick-and-Dirty: Improving Performance of MLC PCM by Using Temporary Short Writes. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhezhi He, Shaahin Angizi, Deliang Fan Exploring STT-MRAM Based In-Memory Computing Paradigm with Application of Image Edge Extraction. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Georgios Mappouras, Alireza Vahid, A. Robert Calderbank, Derek R. Hower, Daniel J. Sorin Jenga: Efficient Fault Tolerance for Stacked DRAM. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alberto Scolari, Yunseong Lee, Markus Weimer, Matteo Interlandi Towards Accelerating Generic Machine Learning Prediction Pipelines. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Nasim Imtiaz Khan, Shivam Bhasin, Alex Yuan, Anupam Chattopadhyay, Swaroop Ghosh Side-Channel Attack on STTRAM Based Cache for Cryptographic Application. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abhishek Chakraborty 0001, Yang Xie, Ankur Srivastava 0001 Template Attack Based Deobfuscation of Integrated Circuits. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vinayaka Jyothi, Ashik Poojari, Richard Stern, Ramesh Karri Fingerprinting Field Programmable Gate Arrays. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuanwen Huang, Prabhat Mishra 0001 Vulnerability-Aware Energy Optimization Using Reconfigurable Caches in Multicore Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017 Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  BibTeX  RDF
1Janibul Bashir, Smruti R. Sarangi NUPLet: A Photonic Based Multi-Chip NUCA Architecture. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christopher E. Giles, Mark A. Heinrich M2S-CGM: A Detailed Architectural Simulator for Coherent CPU-GPU Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Steven F. Hoover Timing-Abstract Circuit Design in Transaction-Level Verilog. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty Monolithic 3D-Enabled High Performance and Energy Efficient Network-on-Chip. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tianming Yang, Haitao Wu, Ping Huang 0001, Fei Zhang A Shingle-Aware Persistent Cache Management Scheme for DM-SMR Disks. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Suhaimi Abd Ishak, Hui Wu 0001, Umair Ullah Tariq Energy-Aware Task Scheduling on Heterogeneous NoC-Based MPSoCs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Atieh Lotfi, Rajesh K. Gupta 0001 ReHLS: Resource-Aware Program Transformation Workflow for High-Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yazhi Feng, Dan Feng 0001, Wei Tong 0001, Yu Jiang, Chuanqi Liu Using Disturbance Compensation and Data Clustering (DC)2 to Improve Reliability and Performance of 3D MLC Flash Memory. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qiao Li 0001, Liang Shi, Yejia Di, Yajuan Du, Chun Jason Xue, Edwin Hsing-Mean Sha Exploiting Process Variation for Read Performance Improvement on LDPC Based Flash Memory Storage Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Peitian Pan, Chao Li 0009 Congra: Towards Efficient Processing of Concurrent Graph Queries on Shared-Memory Machines. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuxi Liu, Xia Zhao 0004, Zhibin Yu 0001, Zhenlin Wang, Xiaolin Wang 0001, Yingwei Luo, Lieven Eeckhout BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Minghua Shen, Nong Xiao, Guojie Luo Dependency-Aware Parallel Routing for Large-Scale FPGAs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mihai Lefter, George Razvan Voicu, Thomas Marconi, Valentin Savin, Sorin Dan Cotofana LDPC-Based Adaptive Multi-Error Correction for 3D Memories. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wen Wen, Youtao Zhang, Jun Yang 0002 Read Error Resilient MLC STT-MRAM Based Last Level Cache. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chi Lo, Yu-Yi Su, Chun-Yi Lee, Shih-Chieh Chang A Dynamic Deep Neural Network Design for Efficient Workload Allocation in Edge Computing. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joonsang Yu, Kyounghoon Kim, Jongeun Lee, Kiyoung Choi Accurate and Efficient Stochastic Computing Hardware for Convolutional Neural Networks. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaoyi Zhang 0003, Dan Feng 0001, Yu Hua 0001, Jianxi Chen A Cost-Efficient NVM-Based Journaling Scheme for File Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dennis Antony Varkey, Biswabandan Panda, Madhu Mutyam RCTP: Region Correlated Temporal Prefetcher. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Benjamin Carrión Schäfer Approximate Reconfigurable Hardware Accelerator: Adapting the Micro-Architecture to Dynamic Workloads. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Julio Villalba-Moreno, Javier Hormigo Floating Point Square Root under HUB Format. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tianwei Zhang 0004, Yuan Xu, Yungang Bao, Ruby B. Lee CloudShelter: Protecting Virtual Machines' Memory Resource Availability in Clouds. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tongxin Yang, Tomoaki Ukezono, Toshinori Sato Low-Power and High-Speed Approximate Multiplier Design with a Tree Compressor. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sreela Kodali, Patrick Hansen, Niamh Mulholland, Paul N. Whatmough, David M. Brooks, Gu-Yeon Wei Applications of Deep Neural Networks for Ultra Low Power IoT. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vinesh Srinivasan, Rangeen Basu Roy Chowdhury, Elliott Forbes, Randy Widialaksono, Zhenqian Zhang, Joshua Schabel, Sungkwan Ku, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul D. Franzon H3 (Heterogeneity in 3D): A Logic-on-Logic 3D-Stacked Heterogeneous Multi-Core Processor. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chenguang Wang 0003, Ming Yan, Yici Cai, Qiang Zhou 0001, Jianlei Yang 0001 Power Profile Equalizer: A Lightweight Countermeasure against Side-Channel Attack. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aditya Dalakoti, Merritt Miller, Forrest Brewer Pulse Ring Oscillator Tuning via Pulse Dynamics. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chenguang Wang 0003, Yici Cai, Qiang Zhou 0001 Automatic Security Property Generation for Detecting Information-Leaking Hardware Trojans. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones Yoda: Judge Me by My Size, Do You? Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samuel Steffl, Sherief Reda LACore: A Supercomputing-Like Linear Algebra Accelerator for SoC-Based Designs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Huili Chen, Seetal Potluri, Farinaz Koushanfar BioChipWork: Reverse Engineering of Microfluidic Biochips. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexandre Joannou, Jonathan Woodruff, Robert Kovacsics, Simon W. Moore, Alex Bradbury, Hongyan Xia, Robert N. M. Watson, David Chisnall, Michael Roe, Brooks Davis, Edward Napierala, John Baldwin, Khilan Gudka, Peter G. Neumann, Alfredo Mazzinghi, Alex Richardson, Stacey D. Son, A. Theodore Markettos Efficient Tagged Memory. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Armin Haj Aboutalebi, Lide Duan RAPS: Restore-Aware Policy Selection for STT-MRAM-Based Main Memory under Read Disturbance. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ramon Bertran, Pradip Bose, David M. Brooks, Jeff Burns, Alper Buyuktosunoglu, Nandhini Chandramoorthy, Eric Cheng, Martin Cochet, Schuyler Eldridge, Daniel Friedman, Hans M. Jacobson, Rajiv V. Joshi, Subhasish Mitra, Robert K. Montoye, Arun Paidimarri, Pritish Parida, Kevin Skadron, Mircea Stan, Karthik Swaminathan, Augusto Vega, Swagath Venkataramani, Christos Vezyrtzis, Gu-Yeon Wei, John-David Wellman, Matthew M. Ziegler Very Low Voltage (VLV) Design. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yibo Lin, Peter Debacker, Darko Trivkovic, Ryoung-Han Kim, Praveen Raghavan, David Z. Pan Patterning Aware Design Optimization of Selective Etching in N5 and Beyond. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alec Roelke, Runjie Zhang, Kaushik Mazumdar, Ke Wang 0011, Kevin Skadron, Mircea R. Stan Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Deliang Fan, Shaahin Angizi Energy Efficient In-Memory Binary Deep Neural Network Accelerator with Dual-Mode SOT-MRAM. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kimia Soleimani, Ahmad Patooghy, Nasim Soltani, Lake Bu, Michel A. Kinsy Crosstalk Free Coding Systems to Protect NoC Channels against Crosstalk Faults. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ke Liu, Mengying Zhao, Lei Ju 0001, Zhiping Jia, Chun Jason Xue, Jingtong Hu Design Exploration for Multiple Level Cell Based Non-Volatile FPGAs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Diman Zad Tootaghaj, Farshid Farhat CAGE: A Contention-Aware Game-Theoretic Model for Heterogeneous Resource Assignment. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abhishek Koneru, Sukeshwar Kannan, Krishnendu Chakrabarty A Design-for-Test Solution for Monolithic 3D Integrated Circuits. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuya Maruyama, Shinpei Kato, Takuya Azumi Exploring Scalable Data Allocation and Parallel Computing on NoC-Based Embedded Many Cores. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Benjamin Carrión Schäfer, Yidi Liu Configurable SoC In-Situ Hardware/Software Co-Design Design Space Exploration. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudhanshu Shukla, Mainak Chaudhuri Sharing-Aware Efficient Private Caching in Many-Core Server Processors. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alif Ahmed, Prabhat Mishra 0001 QUEBS: Qualifying Event Based Search in Concolic Testing for Validation of RTL Models. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrew J. Douglass, Sunil P. Khatri Fast, Ring-Based Design of 3D Stacked DRAM. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yue Yao, Shuyang Huang, Chen Wang, Yi Wu, Weikang Qian Approximate Disjoint Bi-Decomposition and Its Application to Approximate Logic Synthesis. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vinayaka Jyothi, Prashanth Krishnamurthy, Farshad Khorrami, Ramesh Karri TAINT: Tool for Automated INsertion of Trojans. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chia-Yuan Cheng, Shi-Yu Huang, Ding-Ming Kwai, Yung-Fa Chou DLL-Assisted Clock Synchronization Method for Multi-Die ICs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navid Farazmand, David R. Kaeli Quality of Service-Aware Dynamic Voltage and Frequency Scaling for Mobile 3D Graphics Applications. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abbas A. Fairouz, Sunil P. Khatri An FPGA-Based Coprocessor for Hash Unit Acceleration. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pei Luo, Konstantinos Athanasiou, Liwei Zhang, Zhen Hang Jiang, Yunsi Fei, A. Adam Ding, Thomas Wahl Compiler-Assisted Threshold Implementation against Power Analysis Attacks. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sabrina M. Neuman, Jason E. Miller, Daniel Sánchez 0003, Srinivas Devadas Using Application-Level Thread Progress Information to Manage Power and Performance. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mehran Goli, Jannis Stoppe, Rolf Drechsler Automatic Protocol Compliance Checking of SystemC TLM-2.0 Simulation Behavior Using Timed Automata. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hossein Sayadi, Nisarg Patel, Avesta Sasan, Houman Homayoun Machine Learning-Based Approaches for Energy-Efficiency Prediction and Scheduling in Composite Cores Architectures. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chaobing Zhou, Libo Huang, Tan Zhang, Yongwen Wang, Chengyi Zhang, Qiang Dou Effective Optimization of Branch Predictors through Lightweight Simulation. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shengan Zheng, Hong Mei 0001, Linpeng Huang, Yanyan Shen, Yanmin Zhu Adaptive Prefetching for Accelerating Read and Write in NVM-Based File Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ruizhe Cai, Ao Ren, Luhao Wang, Massoud Pedram, Yanzhi Wang Hardware Acceleration of Bayesian Neural Networks Using RAM Based Linear Feedback Gaussian Random Number Generators. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sushant Kondguli, Michael C. Huang 0001 T2: A Highly Accurate and Energy Efficient Stride Prefetcher. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Behzad Zeinali, Jens Kargaard Madsen, Praveen Raghavan, Farshad Moradi Ultra-Fast SOT-MRAM Cell with STT Current for Deterministic Switching. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaoliang Chen, Ahmed M. Eltawil, Fadi J. Kurdahi Low Latency Approximate Adder for Highly Correlated Input Streams. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1M. Hassan Najafi, David J. Lilja, Marc D. Riedel, Kia Bazargan Power and Area Efficient Sorting Networks Using Unary Processing. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Farimah Farahmandi, Prabhat Mishra 0001 Automated Debugging of Arithmetic Circuits Using Incremental Gröbner Basis Reduction. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lorenzo Di Tucci, Marco Rabozzi, Luca Stornaiuolo, Marco D. Santambrogio The Role of CAD Frameworks in Heterogeneous FPGA-Based Cloud Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qutaiba Alasad, Jiann-Shiun Yuan Logic Obfuscation against IC Reverse Engineering Attacks Using PLGs. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ling Wang 0005, Xiaohang Wang 0001, Yadong Wang ABDTR: Approximation-Based Dynamic Traffic Regulation for Networks-on-Chip Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Newton, Sujit Kr Mahto, Suhit Pai, Virendra Singh DAAIP: Deadblock Aware Adaptive Insertion Policy for High Performance Caching. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sixing Lu, Roman Lysecky, Jerzy W. Rozenblit Subcomponent Timing-Based Detection of Malware in Embedded Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudarshan Srinivasan, Israel Koren, Sandip Kundu Improving performance per Watt of non-monotonic Multicore Processors via bottleneck-based online program phase classification. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tianjian Li, Li Jiang 0002, Naifeng Jing, Nam Sung Kim, Xiaoyao Liang CNFET-based high throughput register file architecture. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Manish Rana, Ramon Canal, Jie Han 0001, Bruce F. Cockburn SRAM memory margin probability failure estimation using Gaussian Process regression. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Florian Huemer, Jakob Lechner, Andreas Steininger A new coding scheme for fault tolerant 4-phase delay-insensitive codes. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jack Wadden, Nathan Brunelle, Ke Wang 0011, Mohamed El-Hadedy 0001, Gabriel Robins, Mircea Stan, Kevin Skadron Generating efficient and high-quality pseudo-random behavior on Automata Processors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amirhossein Mirhosseini, Mohammad Sadrosadati, Maryam Zare, Hamid Sarbazi-Azad Quantifying the difference in resource demand among classic and modern NoC workloads. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kelvin Ly, Orlando Arias, Jacob Wurm, Khoa Hoang, Kaveh Shamsi, Yier Jin Voting system design pitfalls: Vulnerability analysis and exploitation of a model platform. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Majid Jalili 0001, Hamid Sarbazi-Azad Tolerating more hard errors in MLC PCMs using compression. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amir Momeni, Hamed Tabkhi, Gunar Schirner, David R. Kaeli Hardware thread reordering to boost OpenCL throughput on FPGAs. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Monther Abusultan, Sunil P. Khatri Exploring static and dynamic flash-based FPGA design topologies. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arjun Deb, Paolo Faraboschi, Ali Shafiee, Naveen Muralimanohar, Rajeev Balasubramonian, Robert Schreiber Enabling technologies for memory compression: Metadata, mapping, and prediction. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wen Zong, Qiang Xu 0001 DOART: A low-power and low-latency Network-on-Chip. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Prabanjan Komari, Ranga Vemuri A novel simulation based approach for trace signal selection in silicon debug. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Na Gong, Jonathon Edstrom, Dongliang Chen, Jinhui Wang Data-Pattern enabled Self-Recovery multimedia storage system for near-threshold computing. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sandip Ray, Tamzidul Hoque, Abhishek Basak, Swarup Bhunia The power play: Security-energy trade-offs in the IoT regime. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Changgong Li, Alexander Schwarz, Christian Hochberger A readback based general debugging framework for soft-core processors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elliott Forbes, Eric Rotenberg Fast register consolidation and migration for heterogeneous multi-core processors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David J. Schlais, Mikko H. Lipasti BADGR: A practical GHR implementation for TAGE branch predictors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wenjian Xiao, Huanqing Dong, Liuying Ma, Zhenjun Liu, Qiang Zhang HS-BAS: A hybrid storage system based on band awareness of Shingled Write Disk. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seil Lee, Hanjoo Kim, Seongsik Park, Sei Joon Kim, Hyeokjun Choe, Chang-Sung Jeong, Sungroh Yoon CloudSocket: Smart grid platform for datacenters. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gabriel A. G. Andrade, Marleson Graf, Luiz C. V. dos Santos Chain-based pseudorandom tests for pre-silicon verification of CMP memory systems. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sudhir Satpathy, Sanu Mathew, Vikram B. Suresh, Ram Krishnamurthy 0001 Ultra-low energy security circuits for IoT applications. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bruce M. Fleischer, Christos Vezyrtzis, Karthik Balakrishnan, Keith A. Jenkins A statistical critical path monitor in 14nm CMOS. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license