The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISCA"( http://dblp.L3S.de/Venues/ISCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isca

Publication years (Num. hits)
1973 (29) 1974 (38) 1975-1976 (42) 1977 (28) 1978 (39) 1979 (28) 1980 (41) 1981 (42) 1982 (35) 1983 (55) 1984 (47) 1985 (52) 1986 (51) 1987 (36) 1988 (51) 1989 (47) 1990 (35) 1991 (39) 1992 (65) 1993 (33) 1994 (35) 1995 (38) 1996 (29) 1997 (31) 1998 (34) 1999 (27) 2000 (30) 2001 (25) 2002 (28) 2003 (38) 2004 (32) 2005 (46) 2006 (39) 2007 (47) 2008 (38) 2009 (46) 2010 (49) 2011 (41) 2012 (48) 2013 (57) 2014 (47) 2015 (59) 2016 (58) 2017 (55) 2018 (65) 2019 (63) 2020 (83) 2021 (82) 2022 (74) 2023 (85)
Publication types (Num. hits)
inproceedings(2212) proceedings(50)
Venues (Conferences, Journals, ...)
ISCA(2262)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 570 occurrences of 350 keywords

Results
Found 2262 publication records. Showing 2262 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ching-Kai Liang, Milos Prvulovic MiSAR: minimalistic synchronization accelerator with resource overflow management. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xi Yang 0021, Stephen M. Blackburn, Kathryn S. McKinley Computer performance microscopy with Shim. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Indrani Paul, Wei Huang 0004, Manish Arora, Sudhakar Yalamanchili Harmonia: balancing compute and memory power in high-performance GPUs. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mark Stephenson, Siva Kumar Sastry Hari, Yunsup Lee, Eiman Ebrahimi, Daniel R. Johnson, David W. Nellans, Mike O'Connor, Stephen W. Keckler Flexible software profiling of GPU architectures. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Johann Hauswald, Yiping Kang, Michael A. Laurenzano, Quan Chen 0002, Cheng Li, Trevor N. Mudge, Ronald G. Dreslinski, Jason Mars, Lingjia Tang DjiNN and Tonic: DNN as a service and its implications for future warehouse scale computers. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Trevor E. Carlson, Wim Heirman, Osman Allam, Stefanos Kaxiras, Lieven Eeckhout The load slice core microarchitecture. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daya Shanker Khudia, Babak Zamirai, Mehrzad Samadi, Scott A. Mahlke Rumba: an online quality management system for approximate computing. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vivek Seshadri, Gennady Pekhimenko, Olatunji Ruwase, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry, Trishul M. Chilimbi Page overlays: an enhanced virtual memory framework to enable fine-grained memory management. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Stefanos Kaxiras Callback: efficient synchronization without invalidation with a directory just for spin-waiting. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Berkin Akin, Franz Franchetti, James C. Hoe Data reorganization in memory using 3D-stacked DRAM. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Deborah T. Marr, David H. Albonesi (eds.) Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015 Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tony Nowatzki, Vinay Gangadhar, Karthikeyan Sankaralingam Exploring the potential of heterogeneous von neumann/dataflow execution models. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arthur Perais, André Seznec, Pierre Michaud, Andreas Sembrant, Erik Hagersten Cost-effective speculative scheduling in high performance processors. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sang Woo Jun, Ming Liu, Sungjin Lee 0001, Jamey Hicks, John Ankcorn, Myron King, Shuotao Xu, Arvind BlueDBM: an appliance for big data analytics. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matt Skach, Manish Arora, Chang-Hong Hsu, Qi Li, Dean M. Tullsen, Lingjia Tang, Jason Mars Thermal time shifting: leveraging phase change materials to reduce cooling costs in warehouse-scale computers. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jungwhan Choi, Wongyu Shin, Jaemin Jang, Jinwoong Suh, Yongkee Kwon, Youngsuk Moon, Lee-Sup Kim Multiple clone row DRAM: a low latency and area optimized DRAM. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sheng Li 0007, Hyeontaek Lim, Victor W. Lee, Jung Ho Ahn, Anuj Kalia, Michael Kaminsky, David G. Andersen, Seongil O, Sukhan Lee 0002, Pradeep Dubey Architecting to achieve a billion requests per second throughput on a single key-value store server platform. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vasileios Karakostas, Jayneel Gandhi, Furkan Ayar, Adrián Cristal, Mark D. Hill, Kathryn S. McKinley, Mario Nemirovsky, Michael M. Swift, Osman S. Unsal Redundant memory mappings for fast access to large memories. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Görkem Asilioglu, Zhaoxiang Jin, Murat Köksal, Omkar Javeri, Soner Önder LaZy superscalar. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chia-Chen Chou, Aamer Jaleel, Moinuddin K. Qureshi BEAR: techniques for mitigating bandwidth bloat in gigascale DRAM caches. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nitin 0002, Irith Pomeranz, T. N. Vijaykumar FaultHound: value-locality-based soft-fault tolerance. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Longjun Liu, Chao Li 0009, Hongbin Sun 0001, Yang Hu 0001, Juncheng Gu, Tao Li 0006, Jingmin Xin, Nanning Zheng 0001 HEB: deploying and managing hybrid energy buffers for improving datacenter efficiency and economy. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tianwei Zhang 0004, Ruby B. Lee CloudMonatt: an architecture for security health monitoring and attestation of virtual machines in cloud computing. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Yang Hu 0001, Longjun Liu, Juncheng Gu, Mingcong Song, Xiaoyao Liang, Jingling Yuan, Tao Li 0006 Towards sustainable in-situ server systems in the big data era. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yakun Sophia Shao, Brandon Reagen, Gu-Yeon Wei, David M. Brooks Aladdin: A pre-RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siva Kumar Sastry Hari, Radha Venkatagiri, Sarita V. Adve, Helia Naeimi GangES: Gang error simulation for hardware resiliency evaluation. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Runjie Zhang, Ke Wang 0011, Brett H. Meyer, Mircea R. Stan, Kevin Skadron Architecture implications of pads as a scarce resource. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dani Voitsechov, Yoav Etsion Single-graph multiple flows: Energy efficient design alternative for GPGPUs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tao Zhang 0032, Ke Chen 0020, Cong Xu, Guangyu Sun 0003, Tao Wang 0004, Yuan Xie 0001 Half-DRAM: A high-bandwidth and low-power DRAM architecture from the rethinking of fine-grained activation. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xuehai Qian, Benjamín Sahelices, Josep Torrellas OmniOrder: Directory-based conflict serialization of transactions. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rangharajan Venkatesan, Shankar Ganesh Ramasubramanian, Swagath Venkataramani, Kaushik Roy 0001, Anand Raghunathan STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arthur Perais, André Seznec EOLE: Paving the way for an effective implementation of value prediction. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ashish Venkat, Dean M. Tullsen Harnessing ISA diversity: Design of a heterogeneous-ISA chip multiprocessor. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karthik Swaminathan, Huichu Liu, Jack Sampson, Vijaykrishnan Narayanan An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jack Wadden, Alexander Lyashevsky, Sudhanva Gurumurthi, Vilas Sridharan, Kevin Skadron Real-world design and evaluation of compiler-managed GPU redundant multithreading. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Liu 0030, Yong Li, Zehan Cui, Yungang Bao, Mingyu Chen 0001, Chengyong Wu Going vertical in memory management: Handling multiplicity by multi-policy. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Steven Pelley, Peter M. Chen, Thomas F. Wenisch Memory persistency. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Advait Madhavan, Timothy Sherwood, Dmitri B. Strukov Race Logic: A hardware acceleration for dynamic programming algorithms. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lluís Vilanova, Muli Ben-Yehuda, Nacho Navarro, Yoav Etsion, Mateo Valero CODOMs: Protecting software with Code-centric memory Domains. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuhao Zhu 0001, Vijay Janapa Reddi WebCore: Architectural support for mobile Web browsing. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Angelos Arelakis, Per Stenström SC2: A statistical compression cache scheme. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bhavya K. Daya, Chia-Hsin Owen Chen, Suvinay Subramanian, Woo-Cheol Kwon, Sunghyun Park 0002, Tushar Krishna, Jim Holt, Anantha P. Chandrakasan, Li-Shiuan Peh SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shaoming Chen, Yue Hu, Ying Zhang 0016, Lu Peng 0001, Jesse Ardonne, Samuel Irving, Ashok Srivastava Increasing off-chip bandwidth in multi-core processors with switchable pins. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Bo Zhao 0007, Jun Yang 0002, Youtao Zhang A low power and reliable charge pump design for Phase Change Memories. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mario Badr, Natalie D. Enright Jerger SynFull: Synthetic traffic models capturing cache coherent behaviour. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 ACM/IEEE 41st International Symposium on Computer Architecture, ISCA 2014, Minneapolis, MN, USA, June 14-18, 2014 Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  BibTeX  RDF
1Seongil O, Young Hoon Son, Nam Sung Kim, Jung Ho Ahn Row-buffer decoupling: A case for low-latency DRAM microarchitecture. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1José-María Arnau, Joan-Manuel Parcerisa, Polychronis Xekalakis Eliminating redundant fragment shader executions on a mobile GPU via hardware memoization. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vivek Seshadri, Abhishek Bhowmick 0002, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry The Dirty-Block Index. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Long Chen, Zhao Zhang 0010 MemGuard: A low cost and energy efficient design to support and enhance memory system reliability. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Renée St. Amant, Amir Yazdanbakhsh, Jongse Park, Bradley Thwaites, Hadi Esmaeilzadeh, Arjang Hassibi, Luis Ceze, Doug Burger General-purpose code acceleration with limited-precision analog computation. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James E. Smith 0001 Efficient digital neurons for large scale cortical architectures. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ming Liu 0006, Tao Li 0006 Optimizing virtual machine consolidation performance on NUMA server architecture for cloud workloads. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Simone Campanoni, Kevin Brownell, Svilen Kanev, Timothy M. Jones 0001, Gu-Yeon Wei, David M. Brooks HELIX-RC: An architecture-compiler co-design for automatic parallelization of irregular programs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Myoungsoo Jung, Wonil Choi, Shekhar Srikantaiah, Joonhyuk Yoo, Mahmut T. Kandemir HIOS: A host interface I/O scheduler for Solid State Disks. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gwendolyn Voskuilen, T. N. Vijaykumar Fractal++: Closing the performance gap between fractal and conventional coherence. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kenneth Czechowski, Victor W. Lee, Ed Grochowski, Ronny Ronen, Ronak Singhal, Richard W. Vuduc, Pradeep Dubey Improving the energy efficiency of Big Cores. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yoongu Kim, Ross Daly, Jeremie S. Kim, Chris Fallin, Ji-Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, Onur Mutlu Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marc S. Orr, Bradford M. Beckmann, Steven K. Reinhardt, David A. Wood 0001 Fine-grain task aggregation and coordination on GPUs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Brian Towles, J. P. Grossman, Brian Greskamp, David E. Shaw Unifying on-chip and inter-node switching within the Anton 2 network. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tianshi Chen 0002, Qi Guo 0001, Ke Tang 0001, Olivier Temam, Zhiwei Xu 0002, Zhi-Hua Zhou, Yunji Chen ArchRanker: A ranking approach to design space exploration. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Morteza Hoseinzadeh, Mohammad Arjomand, Hamid Sarbazi-Azad Reducing access latency of MLC PCMs through line striping. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yanpei Liu, Stark C. Draper, Nam Sung Kim SleepScale: Runtime joint speed scaling and sleep states management for power efficient data centers. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Lo 0003, Liqun Cheng, Rama Govindaraju, Luiz André Barroso, Christos Kozyrakis Towards energy proportionality for large-scale latency-critical workloads. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew Putnam, Adrian M. Caulfield, Eric S. Chung, Derek Chiou, Kypros Constantinides, John Demme, Hadi Esmaeilzadeh, Jeremy Fowers, Gopi Prashanth Gopal, Jan Gray, Michael Haselman, Scott Hauck, Stephen Heil, Amir Hormati, Joo-Young Kim 0001, Sitaram Lanka, James R. Larus, Eric Peterson, Simon Pope, Aaron Smith, Jason Thong, Phillip Yi Xiao, Doug Burger A reconfigurable fabric for accelerating large-scale datacenter services. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gaurang Upasani, Xavier Vera, Antonio González 0001 Avoiding core's DUE & SDC via acoustic wave detectors and tailored error containment and recovery. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jonathan Woodruff, Robert N. M. Watson, David Chisnall, Simon W. Moore, Jonathan Anderson, Brooks Davis, Ben Laurie, Peter G. Neumann, Robert M. Norton, Michael Roe The CHERI capability model: Revisiting RISC in an age of risk. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nima Honarmand, Josep Torrellas Replay debugging: Leveraging record and replay for program debugging. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Sembrant, Erik Hagersten, David Black-Schaffer Navigating the cache hierarchy with a single lookup. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ivan Tanasic, Isaac Gelado, Javier Cabezas, Alex Ramírez, Nacho Navarro, Mateo Valero Enabling preemptive multiprogramming on GPUs. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xuehai Qian, Benjamín Sahelices, Depei Qian Pacifier: Record and replay for relaxed-consistency multiprocessors with distributed directory protocol. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ling Ren 0001, Xiangyao Yu, Christopher W. Fletcher, Marten van Dijk, Srinivas Devadas Design space exploration and optimization of path oblivious RAM in secure processors. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1José A. Joao, M. Aater Suleman, Onur Mutlu, Yale N. Patt Utility-based acceleration of multithreaded applications on asymmetric CMPs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wajahat Qadeer, Rehan Hameed, Ofer Shacham, Preethi Venkatesan, Christos Kozyrakis, Mark A. Horowitz Convolution engine: balancing efficiency & flexibility in specialized computing. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Mars, Lingjia Tang Whare-map: heterogeneity in "homogeneous" warehouse-scale computers. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Di Wang 0003, Chuangang Ren, Anand Sivasubramaniam Virtualizing power distribution in datacenters. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aniruddha S. Vaidya, Anahita Shayesteh, Dong Hyuk Woo, Roy Saharoy, Mani Azimi SIMD divergence optimization through intra-warp compaction. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kevin T. Lim, David Meisner, Ali G. Saidi, Parthasarathy Ranganathan, Thomas F. Wenisch Thin servers with smart pipes: designing SoC accelerators for memcached. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hailong Yang, Alex D. Breslow, Jason Mars, Lingjia Tang Bubble-flux: precise online QoS management for increased utilization in warehouse scale computers. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jaewoong Sim, Gabriel H. Loh, Vilas Sridharan, Mike O'Connor Resilient die-stacked DRAM caches. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lisa Wu 0001, Raymond J. Barker, Martha A. Kim, Kenneth A. Ross Navigating big data with high-throughput, energy-efficient data partitioning. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stefanos Kaxiras, Alberto Ros 0001 A new perspective for efficient virtual-cache coherence. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, John D. Davis, Jaewon Lee LINQits: big data on little clients. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arkaprava Basu, Jayneel Gandhi, Jichuan Chang, Mark D. Hill, Michael M. Swift Efficient virtual memory for big memory servers. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qing Guo 0004, Xiaochen Guo, Ravi Patel 0001, Engin Ipek, Eby G. Friedman AC-DIMM: associative computing with STT-MRAM. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Harold W. Cain, Maged M. Michael, Brad Frey, Cathy May, Derek Williams, Hung Q. Le Robust architectural support for transactional memory in the power architecture. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiaotao Chang, Hubertus Franke, Yi Ge, Tao Liu, Kun Wang, Jimi Xenidis, Fei Chen, Yu Zhang Improving virtualization in the presence of software managed translation lookaside buffers. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saugata Ghose, Hyodong Lee, José F. Martínez Improving memory scheduling via processor-side load criticality information. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Islam Atta, Pinar Tözün, Xin Tong 0005, Anastasia Ailamaki, Andreas Moshovos STREX: boosting instruction cache reuse in OLTP workloads through stratified transaction execution. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Du, Miao Zhou, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Bit mapping for balanced PCM cell programming. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Prashant J. Nair, Dae-Hyun Kim 0003, Moinuddin K. Qureshi ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bilel Belhadj, Antoine Joubert, Zheng Li, Rodolphe Héliot, Olivier Temam Continuous real-world inputs can open up alternative accelerator designs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Blake A. Hechtman, Daniel J. Sorin Exploring memory consistency for massively-threaded throughput-oriented processors. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuelu Duan, Abdullah Muzahid, Josep Torrellas WeeFence: toward making fences free in TSO. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cheng-Chun Tu, Chao-Tang Lee, Tzi-cker Chiueh Secure I/O device sharing among virtual machines on multiple hosts. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Henry Cook, Miquel Moretó, Sarah Bird, Khanh Dao, David A. Patterson 0001, Krste Asanovic A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Indrani Paul, Srilatha Manne, Manish Arora, William Lloyd Bircher, Sudhakar Yalamanchili Cooperative boosting: needy versus greedy power management. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Djordje Jevdjic, Stavros Volos, Babak Falsafi Die-stacked DRAM caches for servers: hit ratio, latency, or bandwidth? have it all with footprint cache. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nak Hee Seong, Sungkap Yeo, Hsien-Hsin S. Lee Tri-level-cell phase change memory: toward an efficient and reliable memory system. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Meng-Ju Wu, Minshu Zhao, Donald Yeung Studying multicore processor scaling via reuse distance analysis. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2262 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license