The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Siyu Yue, Di Zhu 0002, Yanzhi Wang, Massoud Pedram, Younghyun Kim 0001, Naehyuck Chang SIMES: A simulator for hybrid electrical energy storage systems. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ming Fan 0001, Vivek Chaturvedi, Shi Sha, Gang Quan An analytical solution for multi-core energy calculation with consideration of leakage and temperature dependency. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guoxian Huang, Ridvan Umaz, Udayarka Karra, Baikun Li, Lei Wang 0003 A biomass-based marine sediment energy harvesting system. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Haopeng Liu, Yao Lu, Xiaoyao Liang Compiler assisted dynamic register file in GPGPU. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Feng Zhao 0001 Energy efficient computing: From milliwatt to megawatt. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Hui Huang 0001, Glenn Reinman Composable accelerator-rich microprocessor enhanced for adaptivity and longevity. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jian Ouyang, Shiding Lin, Zhenyu Hou, Peng Wang 0025, Yong Wang, Guangyu Sun 0003 Active SSD design for energy-efficiency improvement of web-scale data analysis. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pai H. Chou, Ru Huang, Yuan Xie 0001, Tanay Karnik (eds.) International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013 Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  BibTeX  RDF
1Matthew J. Turnquist, Jani Mäkipää, Markus Hiienkari, Hanh-Phuc Le, Lauri Koskinen Rethinking DC-DC converter design constraints for adaptable systems that target the minimum-energy point. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1G. Salis Semiconductor spintronics: Switching spins at low voltage. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sangyoung Park, Bumkyu Koh, Yanzhi Wang, Jaemin Kim, Younghyun Kim 0001, Massoud Pedram, Naehyuck Chang Maximum power transfer tracking in a solar USB charger for smartphones. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Li Wang 0058, Rui Ma 0003, Chen Zhang 0017, Zongyu Dong, Xin Wang 0031, Zitao Shi, Jian Liu 0027, Lin Lin 0011, Hui Zhao 0014, Fei Lu 0004, Qiang Fang, Chen Yang, Jing Zhan, Tianling Ren, Xinxin Li, Ru Huang, Albert Z. Wang Heterogeneous integration of nano enabling devices for 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Seung-Ho Ok, Kyeong-Ryeol Bae, Sung Kyu Lim, Byungin Moon Design and analysis of 3D IC-based low power stereo matching processors. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Raghavan Kumar, Wayne P. Burleson Litho-aware and low power design of a secure current-based physically unclonable function. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Frank Maker III, Rajeevan Amirtharajah, Venkatesh Akella Update rate tradeoffs for improving online power modeling in smartphones. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vignyan Reddy, Syed Zohaib Gilani, Erika Gunadi, Nam Sung Kim, Michael J. Schulte, Mikko H. Lipasti REEL: Reducing effective execution latency of floating point operations. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Boxun Li, Yi Shan, Miao Hu, Yu Wang 0002, Yiran Chen 0001, Huazhong Yang Memristor-based approximated computation. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ping-Sheng Lin, Yi-Jung Chen, Chia-Lin Yang, Yi-Chang Lu Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zichao Xie, Dong Tong 0001, Xu Cheng 0001 An energy-efficient branch prediction technique via global-history noise reduction. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohammad Javad Dousti, Massoud Pedram Platform-dependent, leakage-aware control of the driving current of embedded thermoelectric coolers. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chia-Hsiang Chen, Keith A. Bowman, Charles Augustine, Zhengya Zhang, Jim Tschanz Minimum supply voltage for sequential logic circuits in a 22nm technology. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emily Bragg, Marisabel Guevara, Benjamin C. Lee Understanding query complexity and its implications for energy-efficient web search. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alamelu Sankaranarayanan, Ehsan K. Ardestani, José Luis Briz, Jose Renau An energy efficient GPGPU memory hierarchy with tiny incoherent caches. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xuan Zhang 0001, Tao Tong, Svilen Kanev, Sae Kyu Lee, Gu-Yeon Wei, David M. Brooks Characterizing and evaluating voltage noise in multi-core near-threshold processors. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Can Hankendi, Sherief Reda, Ayse K. Coskun vCap: Adaptive power capping for virtualized servers. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jianxing Wang, Yenni Tim, Weng-Fai Wong, Hai (Helen) Li A practical low-power memristor-based analog neural branch predictor. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wenfeng Zhao, Yajun Ha, Chin Hau Hoo, Anastacia B. Alvarez Robustness-driven energy-efficient ultra-low voltage standard cell design with intra-cell mixed-Vt methodology. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yayu Cheng, Jun Wang, Meiying Wen, Ye Li 0002 A low power ECG acquisition system implemented with a fully integrated analog front-end. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tianhao Zheng, Jaeyoung Park, Michael Orshansky, Mattan Erez Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zafar Takhirov, Bobak Nazer, Ajay Joshi Energy-efficient pass-transistor-logic using decision feedback equalization. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew M. Ziegler, George Gristede, Victor V. Zyuban Power reduction by aggressive synthesis design space exploration. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra Carbon nanotube imperfection-immune digital VLSI. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Steven P. Levitan, Yan Fang, John A. Carpenter, Chet N. Gnegy, Natalie S. Janosik, Soyo Awosika-Olumo, Donald M. Chiarulli, György Csaba, Wolfgang Porod Associative processing with coupled oscillators. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Chen, Mingoo Seok, Steven M. Nowick Robust and energy-efficient asynchronous dynamic pipelines for ultra-low-voltage operation using adaptive keeper control. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1XianWei Zhang, Le Jang, Youtao Zhang, Chuanjun Zhang, Jun Yang 0002 WoM-SET: Low power proactive-SET-based PCM write using WoM code. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Cong, Milos D. Ercegovac, Muhuan Huang, Sen Li, Bingjun Xiao Energy-efficient computing using adaptive table lookup based on nonvolatile memories. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chengke Wang, Fengrun Yan, Yao Guo 0001, Xiangqun Chen Power estimation for mobile applications with profile-driven battery traces. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks Quantifying acceleration: Power/performance trade-offs of application kernels in hardware. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Junwhan Ahn, Sungjoo Yoo, Kiyoung Choi Write intensity prediction for energy-efficient non-volatile caches. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ehsan K. Ardestani, Elnaz Ebrahimi 0001, Gabriel Southern, Jose Renau Thermal-aware sampling in architectural simulation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhenyu Sun 0001, Hai Li 0001, Wenqing Wu A dual-mode architecture for fast-switching STT-RAM. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Elif S. Mungan, Chao Lu 0005, Vijay Raghunathan, Kaushik Roy 0001 Modeling, design and cross-layer optimization of polysilicon solar cell based micro-scale energy harvesting systems. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yang Ge, Yukan Zhang, Qinru Qiu, Yung-Hsiang Lu A game theoretic resource allocation for overall energy minimization in mobile cloud computing system. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Da-Cheng Juan, Diana Marculescu Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mirko Loghi, Haroon Mahmood, Andrea Calimera, Massimo Poncino, Enrico Macii Energy-optimal caches with guaranteed lifetime. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kenneth Wagner, Martin St. Laurent, Robert C. Aitken, Hugh Barrass, Randall Robinson Panel: going green across communications and storage systems: control of power in non-mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi-Wei Lin, Hao-I Yang, Geng-Cing Lin, Chi-Shin Chang, Ching-Te Chuang, Wei Hwang, Chia-Cheng Chen, Willis Shih, Huan-Shun Huang A 55nm 0.55v 6T SRAM with variation-tolerant dual-tracking word-line under-drive and data-aware write-assist. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Aatmesh Shrivastava, John C. Lach, Benton H. Calhoun A charge pump based receiver circuit for voltage scaled interconnect. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eric Donkoh, Teck Siong Ong, Yan Nee Too, Patrick Chiang 0001 Register file write data gating techniques and break-even analysis model. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Svilen Kanev, Gu-Yeon Wei, David M. Brooks XIOSim: power-performance modeling of mobile x86 cores. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Akira Saito, Yun Fei Zheng, Kazunori Watanabe, Takayasu Sakurai, Makoto Takamiya 0.35V, 4.1μW, 39MHz crystal oscillator in 40nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, Ricardo Bianchini MultiScale: memory system DVFS with multiple memory controllers. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Inkwon Hwang, Timothy Kam, Massoud Pedram A study of the effectiveness of CPU consolidation in a virtualized multi-core server system. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Aaron Rogers, David Kaplan, Eric Quinnell, Bill Kwan The core-C6 (CC6) sleep state of the AMD bobcat x86 microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Davide Zoni, Simone Corbetta, William Fornaciari HANDS: heterogeneous architectures and networks-on-chip design and simulation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Saurabh Sinha, Brian Cline, Greg Yeric, Vikas Chandra, Yu Cao 0001 Design benchmarking to 7nm with FinFET predictive technology models. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tetsutaro Hashimoto, Satoshi Tanabe, Kouichi Nakayama, Hisanori Fujisawa Voltage droop reduction for multiple-power domain SoCs with on-die LDO using output voltage boost and adaptive response scaling. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eric Donkoh, Patrick Chiang 0001 A low-leakage dynamic register file with unclocked wordline and sub-segmentation for improved bitline scalability. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei Zheng, Ana Paula Centeno, Frederic T. Chong, Ricardo Bianchini LogStore: toward energy-proportional storage servers. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1James B. Wendt, Saro Meguerdichian, Hyduke Noshadi, Miodrag Potkonjak Semantics-driven sensor configuration for energy reduction in medical sensor networks. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jishen Zhao, Guangyu Sun 0003, Gabriel H. Loh, Yuan Xie 0001 Energy-efficient GPU design with reconfigurable in-package graphics memory. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jim Kardach Advances in ultrabook™ platform power management. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yu-Ting Chen, Jason Cong, Hui Huang 0001, Chunyue Liu, Raghu Prabhakar, Glenn Reinman Static and dynamic co-optimizations for blocks mapping in hybrid caches. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong, Bo Yuan Energy-efficient scheduling on heterogeneous multi-core architectures. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pradip Bose Energy-secure computing. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sae Kyu Lee, David M. Brooks, Gu-Yeon Wei Evaluation of voltage stacking for near-threshold multicore computing. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kyle Craig, Yousef Shakhsheer, Sudhanshu Khanna, Saad Arrabi, John C. Lach, Benton H. Calhoun, Stephen Kosonocky A programmable resistive power grid for post-fabrication flexibility and energy tradeoffs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sukki Kim, Soontae Kim, Yebin Lee DRAM power-aware rank scheduling. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yusung Kim 0002, Sumeet Kumar Gupta, Sang Phill Park, Georgios Panagopoulos, Kaushik Roy 0001 Write-optimized reliable design of STT MRAM. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Renu Mehra Commercial low-power EDA tools: a review. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hwisung Jung Advanced power and thermal management for low-power, high-performance smartphones. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Song Chen 0001, Xiaolin Zhang, Takeshi Yoshimura Practically scalable floorplanning with voltage island generation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dimin Niu, Cong Xu, Naveen Muralimanohar, Norman P. Jouppi, Yuan Xie 0001 Design trade-offs for high density cross-point resistive memory. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qing'an Li, Jianhua Li 0003, Liang Shi, Chun Jason Xue, Yanxiang He MAC: migration-aware compilation for STT-RAM based hybrid cache in embedded systems. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yong Li 0009, Yiran Chen 0001, Alex K. Jones A software approach for combating asymmetries of non-volatile memories. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jieming Yin, Pingqiang Zhou, Anup Holey, Sachin S. Sapatnekar, Antonia Zhai Energy-efficient non-minimal path on-chip interconnection network for heterogeneous systems. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthew Schuchhardt, Benjamin Scholbrock, Utku Pamuksuz, Gokhan Memik, Peter A. Dinda, Robert P. Dick Understanding the impact of laptop power saving options on user satisfaction using physiological sensors. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhen Fang, Li Zhao 0002, Xiaowei Jiang, Shih-Lien Lu, Ravi R. Iyer 0001, Tong Li 0003, Seung Eun Lee Reducing L1 caches power by exploiting software semantics. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rangharajan Venkatesan, Vivek Joy Kozhikkottu, Charles Augustine, Arijit Raychowdhury, Kaushik Roy 0001, Anand Raghunathan TapeCache: a high density, energy efficient cache based on domain wall memory. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Seokhyeong Kang, Tajana Rosing, Richard D. Strong TAP: token-based adaptive power gating. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xin Zhao 0001, Sung Kyu Lim TSV array utilization in low-power 3D clock network design. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Himanshu Markandeya, Shriram Raghunathan, Pedro P. Irazoqui, Kaushik Roy 0001 A low-power "near-threshold" epileptic seizure detection processor with multiple algorithm programmability. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dongsoo Lee, Sumeet Kumar Gupta, Kaushik Roy 0001 High-performance low-energy STT MRAM based on balanced write scheme. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Woojoo Lee, Yanzhi Wang, Donghwa Shin, Naehyuck Chang, Massoud Pedram Power conversion efficiency characterization and optimization for smartphones. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christine S. Chan, Yanqin Jin, Yen-Kuan Wu, Kenny C. Gross, Kalyan Vaidyanathan, Tajana Simunic Rosing Fan-speed-aware scheduling of data intensive jobs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anvesha Amaravati, Maryam Shojaei Baghini Process and temperature invariant bandwidth and gain, low-area, low-power and high swing Gm-C filter for multichannel neuro-potential signal conditioning. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jérôme Lescot, Vincent Bligny, Dina Medhat, Didier Chollat-Namy, Ziyang Lu, Sophie Billy, Mark Hofmann Static low power verification at transistor level for SoC design. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sangyoung Park, Yanzhi Wang, Younghyun Kim 0001, Naehyuck Chang, Massoud Pedram Battery management for grid-connected PV systems with a battery. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason M. Allred, Sanghamitra Roy, Koushik Chakraborty Designing for dark silicon: a methodological perspective on energy efficient systems. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lu Wan, Deming Chen CCP: common case promotion for improved timing error resilience with energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Debashis Banerjee, Shreyas Sen, Aritra Banerjee, Abhijit Chatterjee Low-power adaptive RF system design using real-time fuzzy noise-distortion control. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mingoo Seok Performance and energy-efficiency improvement through modified CPL in organic transistor integrated circuits. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Uming Ko Ultra-low power challenges for the next generation ASIC. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001 Spin as state variable for computation: prospects and perspectives. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yasuko Eckert, Srilatha Manne, Michael J. Schulte, David A. Wood 0001 Something old and something new: P-states can borrow microarchitecture techniques too. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kyle Craig, Yousef Shakhsheer, Benton H. Calhoun Optimal power switch design for dynamic voltage scaling from high performance to subthreshold operation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Guangyu Sun 0003, Yaojun Zhang, Yu Wang 0002, Yiran Chen 0001 Improving energy efficiency of write-asymmetric memories by log style write. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shusuke Yoshimoto, Masaharu Terada, Youhei Umeki, Shunsuke Okumura, Atsushi Kawasumi, Toshikazu Suzuki, Shinichi Moriwaki, Shinji Miyano, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 40-nm 256-Kb Sub-10 pJ/Access 8t SRAM with read bitline amplitude limiting (RBAL) scheme. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Naresh R. Shanbhag, Massimo Poncino, Pai H. Chou, Ajith Amerasekera (eds.) International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012 Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  BibTeX  RDF
Displaying result #601 - #700 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license