The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPASS"( http://dblp.L3S.de/Venues/ISPASS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispass

Publication years (Num. hits)
2000 (30) 2001 (25) 2003 (23) 2004 (24) 2005 (31) 2006 (27) 2007 (27) 2008 (23) 2009 (27) 2010 (31) 2011 (35) 2012 (30) 2013 (38) 2014 (34) 2015 (43) 2016 (40) 2017 (36) 2018 (29) 2019 (35) 2020 (41) 2021 (43) 2022 (46) 2023 (43)
Publication types (Num. hits)
inproceedings(738) proceedings(23)
Venues (Conferences, Journals, ...)
ISPASS(761)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 86 occurrences of 79 keywords

Results
Found 761 publication records. Showing 761 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sangyeun Cho, Joel R. Martin, Ruibin Xu, Mohammad H. Hammoud, Rami G. Melhem CA-RAM: A High-Performance Memory Substrate for Search-Intensive Applications. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance search accelerator, high-performance memory substrate, search-intensive application, content addressable random access memory, search operation, memory hierarchy concept, direct hardware implementation, parallel key matching operation, hash function, memory access, application-specific processor, memory structure, hashing technique
1Paul Berube, José Nelson Amaral Aestimo: a feedback-directed optimization evaluation tool. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kenneth C. Barr, Krste Asanovic Branch trace compression for snapshot-based simulation. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Naveen Muralimanohar, Karthik Ramani, Rajeev Balasubramonian Power efficient resource scaling in partitioned architectures through dynamic heterogeneity. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xiaoning Ding, Dimitrios S. Nikolopoulos, Song Jiang 0001, Xiaodong Zhang 0001 MESA: reducing cache conflicts by integrating static and run-time methods. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Gabriel H. Loh Revisiting the performance impact of branch predictor latencies. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder Considering all starting points for simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Robert H. Bell Jr., Rajiv R. Bhatia, Lizy K. John, Jeff Stuecheli, John Griswell, Paul Tu, Louis Capps, Anton Blanchard, Ravel Thai Automatic testcase synthesis and performance model validation for high performance PowerPC processors. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Mary K. Vernon Quantitative system design. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ramadass Nagarajan, Xia Chen, Robert G. McDonald, Doug Burger, Stephen W. Keckler Critical path analysis of the TRIPS architecture. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Erik Berg, Håkan Zeffer, Erik Hagersten A statistical multiprocessor cache model. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Banit Agrawal, Timothy Sherwood Modeling TCAM power for next generation network devices. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hassan Al-Sukhni, James Holt, Daniel A. Connors Improved stride prefetching using extrinsic stream characteristics. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Rose F. Liu, Krste Asanovic Accelerating architectural exploration using canonical instruction segments. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1David A. Patterson 0001 RAMP: research accelerator for multiple processors - a community vision for a shared experimental parallel HW/SW platform. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ajay Joshi, Joshua J. Yi, Robert H. Bell Jr., Lieven Eeckhout, Lizy Kurian John, David J. Lilja Evaluating the efficacy of statistical simulation for design space exploration. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe Simulation sampling with live-points. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Simon Albert, Sven Kalms, Christian Weiss, Achim Schramm Acquisition and evaluation of long DDR2-SDRAM access sequences. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Greg Hamerly, Erez Perelman, Brad Calder Comparing multinomial and k-means clustering for SimPoint. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Natalie D. Enright Jerger, Eric L. Hill, Mikko H. Lipasti Friendly fire: understanding the effects of multiprocessor prefetches. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Stijn Eyerman, James E. Smith 0001, Lieven Eeckhout Characterizing the branch misprediction penalty. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Dror G. Feitelson, Dan Tsafrir Workload sanitation for performance evaluation. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Rui Zhang, Zoran Budimlic, Ken Kennedy Performance modeling and prediction for scientific Java applications. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Victor Moya Del Barrio, Carlos González, Jordi Roca, Agustín Fernández, Roger Espasa ATTILA: a cycle-level execution-driven simulator for modern GPU architectures. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 2006 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  BibTeX  RDF
1Huaping Wang, Yao Guo 0001, Israel Koren, C. Mani Krishna 0001 Compiler-based adaptive fetch throttling for energy-efficiency. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar Comparing simulation techniques for microarchitecture-aware floorplanning. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Adriano C. M. Pereira, Leonardo Silva, Wagner Meira Jr., Walter Santos Assessing the impact of reactive workloads on the performance of Web applications. Search on Bibsonomy ISPASS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jeremy W. Sheaffer, Kevin Skadron, David P. Luebke Studying Thermal Management for Graphics-Processor Architectures. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Gabriel H. Loh Simulation Differences Between Academia and Industry: A Branch Prediction Case Study. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Thomas M. Conte Insight, not (random) numbers. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Kenneth C. Barr, Heidi Pan, Michael Zhang, Krste Asanovic Accelerating Multiprocessor Simulation with a Memory Timestamp Record. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  BibTeX  RDF
1Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge PowerFITS: Reduce Dynamic and Static I-Cache Power Using Application Specific Instruction Set Synthesis. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Raimir Holanda, Javier Verdú, Jorge García-Vidal, Mateo Valero Performance Analysis of a New Packet Trace Compressor based on TCP Flow Clustering. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Pavan Balaji, Sundeep Narravula, Karthikeyan Vaidyanathan, Hyun-Wook Jin, Dhabaleswar K. Panda 0001 On the provision of prioritization and soft qos in dynamically reconfigurable shared data-centers over infiniband. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Murali Vilayannur, Anand Sivasubramaniam, Mahmut T. Kandemir Pro-active Page Replacement for Scientific Applications: A Characterization. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Markus Levy EEMBC and the Purposes of Embedded Processor Benchmarking. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jian Li 0059, José F. Martínez Power-Performance Implications of Thread-level Parallelism on Chip Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Hyrum Carroll, J. Kelly Flanagan, Satish Baniya A Trace-Driven Simulator For Palm OS Devices. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Laxmi N. Bhuyan Anatomy and Performance of SSL Processing. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Annie P. Foong, Jason Fung, Donald Newell, Seth Abraham, Peggy Irelan, Alex Lopez-Estrada Architectural Characterization of Processor Affinity in Network Processing. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jeremy Lau, Erez Perelman, Greg Hamerly, Timothy Sherwood, Brad Calder Motivation for Variable Length Intervals and Hierarchical Phase Behavior. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Wei Huang 0032, Jiang Lin, Zhao Zhang 0010, J. Morris Chang Performance Characterization of Java Applications on SMT Processors. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Magnus Ekman, Per Stenström Enhancing Multiprocessor Architecture Simulation Speed Using Matched-Pair Comparison. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Friman Sánchez, Mauricio Alvarez 0001, Esther Salamí, Alex Ramírez, Mateo Valero On the Scalability of 1- and 2-Dimensional SIMD Extensions for Multimedia Applications. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Yongkang Zhu, David H. Albonesi, Alper Buyuktosunoglu A High Performance, Energy Efficient GALS ProcessorMicroarchitecture with Reduced Implementation Complexity. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Erik R. Altman Panel Discussion: Architectures for the Future. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jeff Ringenberg, Chris Pelosi, David W. Oehmke, Trevor N. Mudge Intrinsic Checkpointing: A Methodology for Decreasing Simulation Time Through Binary Modification. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Kursad Albayraktaroglu, Aamer Jaleel, Xue Wu 0002, Manoj Franklin, Bruce L. Jacob, Chau-Wen Tseng, Donald Yeung BioBench: A Benchmark Suite of Bioinformatics Applications. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Ramaswamy Ramaswamy, Ning Weng, Tilman Wolf Analysis of Network Processing Workloads. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Jeremy Lau, Jack Sampson, Erez Perelman, Greg Hamerly, Brad Calder The Strong correlation Between Code Signatures and Performance. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli Balancing Performance and Reliability in the Memory Hierarchy. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Ram Srinivasan, Jeanine E. Cook, Shaun Cooper Fast, Accurate Microarchitecture Simulation Using Statistical Phase Detection. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Yuan Zhao, Ken Kennedy Scalarization on Short Vector Machines. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas Partitioning Multi-Threaded Processors with a Large Number of Threads. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Mihai Budiu, Pedro V. Artigas, Seth Copen Goldstein Dataflow: A Complement to Superscalar. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Kevin M. Lepak, Mikko H. Lipasti Reaping the Benefit of Temporal Silence to Improve Communication Performance. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, Lizy Kurian John Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Erik Berg, Erik Hagersten StatCache: a probabilistic approach to efficient and accurate data locality analysis. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 2004 IEEE International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  BibTeX  RDF
1Jaidev P. Patwardhan, Alvin R. Lebeck, Daniel J. Sorin Communication breakdown: analyzing CPU usage in commercial Web workloads. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Liem Tran, Nicholas Nelson 0001, Fung Ngai, Steve Dropsho, Michael C. Huang 0001 Dynamically reducing pressure on the physical register file through simple register sharing. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Brad Calder, Daniel Citron, Yale N. Patt, James E. Smith 0001 The future of simulation: A field of dreams. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Michael Van Biesbrouck, Timothy Sherwood, Brad Calder A co-phase matrix to guide simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Ismail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam Compiler-directed physical address generation for reducing dTLB power. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Jeremy Lau, Stefan Schoenmackers, Brad Calder Structures for phase classification. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Carl Anderson Keynote II. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Leonardo R. Bachega, José R. Brunheroto, Luiz De Rose, Pedro Mindlin, José E. Moreira The BlueGene/L pseudo cycle-accurate simulator. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Irina Chihaia, Thomas R. Gross Effectiveness of simple memory models for performance prediction. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Pavan Balaji, Sundeep Narravula, Karthikeyan Vaidyanathan, Savitha Krishnamoorthy, Jiesheng Wu, Dhabaleswar K. Panda 0001 Sockets Direct Protocol over InfiniBand in clusters: is it beneficial? Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Ying Zheng, Brian T. Davis, Matthew Jordan Performance evaluation of exclusive cache hierarchies. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Mikhail Dmitriev 0001 Selective profiling of Java applications using dynamic bytecode instrumentation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Wei Huang 0032, Witawas Srisa-an, J. Morris Chang Dynamic pretenuring schemes for generational garbage collection. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1R. Bonilla-Lucas, Peter Plachta, Aamer Sachedina, Daniel Jiménez-González, Calisto Zuzarte, Josep Lluís Larriba-Pey Characterization of the data access behavior for TPC-C traces. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Hans Vandierendonck, Koen De Bosschere Eccentric and fragile benchmarks. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Lieven Eeckhout Efficient architectural design of high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Timothy J. Dysart, Branden J. Moore, Lambert Schaelicke, Peter M. Kogge Cache implications of aggressively pipelined high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Yale N. Patt Opening and keynote 1. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Russ Joseph, Margaret Martonosi, Zhigang Hu Spectral analysis for characterizing program power and performance. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1W. Wolf Architectures and compilers for multimedia. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Gordon B. Bell, Mikko H. Lipasti Deconstructing commit. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Rong Xu, Zhiyuan Li 0001 Using cache mapping to improve memory performance handheld devices. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Jianwei Chen, Michel Dubois 0001, Per Stenström Integrating complete-system and user-level performance/power simulators: the SimWattch approach. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sally A. McKee, Zhen Fang 0002, Mateo Valero An MPEG-4 performance study for non-SIMD, general purpose architectures. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Cristina D. Murta, Mario E. Augusto Empirical evaluation of capacity estimation tools. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Steven Weber 0001, Rema Hariharan A new synthetic web server trace generation methodology. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Wessam Hassanein, Greg Astfalk, Rudolf Eigenmann 1D performance analysis and tracing of technical and Java applications on the Itanium2 processor. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Praveen Dongara, T. N. Vijaykumar Accelerating private-key cryptography via multithreading on symmetric multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Samuel Kounev, Alejandro P. Buchmann Performance modelling of distributed e-business applications using Queuing Petri Nets. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Yusuf Hasan, J. Morris Chang A hybrid allocator. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Hong Wang 0003, Shiri Manor, Dave LaFollette, Nadav Nesher, Ku-jei King, Perry H. Wang, Shay Levy, Shai Satt, Gal Carmeli, Arjun Kapur, Ioannis Schoinas, Ed Rubinstein, Rahul Bhatt Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sudhanva Gurumurthi, Jianyong Zhang, Anand Sivasubramaniam, Mahmut T. Kandemir, Hubertus Franke, Narayanan Vijaykrishnan, Mary Jane Irwin Interplay of energy and performance for disk arrays running transaction processing workloads. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Annie P. Foong, Thomas R. Huff, Herbert H. Hum, Jaidev R. Patwardhan, Greg J. Regnier TCP performance re-visited. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Daniela Alvim Seabra dos Santos, Alex Borges Vieira, Berthier A. Ribeiro-Neto, Sérgio Vale Aguiar Campos Performance analysis and optimization of a distributed Video on Demand service. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ravishankar K. Iyer Performance implications of chipset caches in web servers. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Joefon Jann, Pratap Pattnaik, Niteesh Dubey, R. Sarma Burugula Web applications and dynamic reconfiguration in UNIX servers. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1 2003 IEEE International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  BibTeX  RDF
1Yolanda Becerra 0001, Toni Cortes, Jordi Garcia 0001, Nacho Navarro Evaluating the importance of virtual memory for Java. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Geyong Min, Mohamed Ould-Khaoua Mathematical modelling of adaptive wormhole routing in the presence of self-similar traffic. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 761 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license