|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 86 occurrences of 79 keywords
|
|
|
Results
Found 761 publication records. Showing 761 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Sangyeun Cho, Joel R. Martin, Ruibin Xu, Mohammad H. Hammoud, Rami G. Melhem |
CA-RAM: A High-Performance Memory Substrate for Search-Intensive Applications. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
high-performance search accelerator, high-performance memory substrate, search-intensive application, content addressable random access memory, search operation, memory hierarchy concept, direct hardware implementation, parallel key matching operation, hash function, memory access, application-specific processor, memory structure, hashing technique |
1 | Paul Berube, José Nelson Amaral |
Aestimo: a feedback-directed optimization evaluation tool. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Kenneth C. Barr, Krste Asanovic |
Branch trace compression for snapshot-based simulation. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Naveen Muralimanohar, Karthik Ramani, Rajeev Balasubramonian |
Power efficient resource scaling in partitioned architectures through dynamic heterogeneity. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Xiaoning Ding, Dimitrios S. Nikolopoulos, Song Jiang 0001, Xiaodong Zhang 0001 |
MESA: reducing cache conflicts by integrating static and run-time methods. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Gabriel H. Loh |
Revisiting the performance impact of branch predictor latencies. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder |
Considering all starting points for simultaneous multithreading simulation. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Robert H. Bell Jr., Rajiv R. Bhatia, Lizy K. John, Jeff Stuecheli, John Griswell, Paul Tu, Louis Capps, Anton Blanchard, Ravel Thai |
Automatic testcase synthesis and performance model validation for high performance PowerPC processors. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Mary K. Vernon |
Quantitative system design. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Ramadass Nagarajan, Xia Chen, Robert G. McDonald, Doug Burger, Stephen W. Keckler |
Critical path analysis of the TRIPS architecture. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Erik Berg, Håkan Zeffer, Erik Hagersten |
A statistical multiprocessor cache model. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Banit Agrawal, Timothy Sherwood |
Modeling TCAM power for next generation network devices. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Hassan Al-Sukhni, James Holt, Daniel A. Connors |
Improved stride prefetching using extrinsic stream characteristics. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Rose F. Liu, Krste Asanovic |
Accelerating architectural exploration using canonical instruction segments. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | David A. Patterson 0001 |
RAMP: research accelerator for multiple processors - a community vision for a shared experimental parallel HW/SW platform. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Ajay Joshi, Joshua J. Yi, Robert H. Bell Jr., Lieven Eeckhout, Lizy Kurian John, David J. Lilja |
Evaluating the efficacy of statistical simulation for design space exploration. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe |
Simulation sampling with live-points. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Simon Albert, Sven Kalms, Christian Weiss, Achim Schramm |
Acquisition and evaluation of long DDR2-SDRAM access sequences. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Greg Hamerly, Erez Perelman, Brad Calder |
Comparing multinomial and k-means clustering for SimPoint. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Natalie D. Enright Jerger, Eric L. Hill, Mikko H. Lipasti |
Friendly fire: understanding the effects of multiprocessor prefetches. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Stijn Eyerman, James E. Smith 0001, Lieven Eeckhout |
Characterizing the branch misprediction penalty. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Dror G. Feitelson, Dan Tsafrir |
Workload sanitation for performance evaluation. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Rui Zhang, Zoran Budimlic, Ken Kennedy |
Performance modeling and prediction for scientific Java applications. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Victor Moya Del Barrio, Carlos González, Jordi Roca, Agustín Fernández, Roger Espasa |
ATTILA: a cycle-level execution-driven simulator for modern GPU architectures. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | |
2006 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings |
ISPASS |
2006 |
DBLP BibTeX RDF |
|
1 | Huaping Wang, Yao Guo 0001, Israel Koren, C. Mani Krishna 0001 |
Compiler-based adaptive fetch throttling for energy-efficiency. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar |
Comparing simulation techniques for microarchitecture-aware floorplanning. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Adriano C. M. Pereira, Leonardo Silva, Wagner Meira Jr., Walter Santos |
Assessing the impact of reactive workloads on the performance of Web applications. |
ISPASS |
2006 |
DBLP DOI BibTeX RDF |
|
1 | Jeremy W. Sheaffer, Kevin Skadron, David P. Luebke |
Studying Thermal Management for Graphics-Processor Architectures. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Gabriel H. Loh |
Simulation Differences Between Academia and Industry: A Branch Prediction Case Study. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Thomas M. Conte |
Insight, not (random) numbers. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Kenneth C. Barr, Heidi Pan, Michael Zhang, Krste Asanovic |
Accelerating Multiprocessor Simulation with a Memory Timestamp Record. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | |
IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings |
ISPASS |
2005 |
DBLP BibTeX RDF |
|
1 | Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge |
PowerFITS: Reduce Dynamic and Static I-Cache Power Using Application Specific Instruction Set Synthesis. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Raimir Holanda, Javier Verdú, Jorge García-Vidal, Mateo Valero |
Performance Analysis of a New Packet Trace Compressor based on TCP Flow Clustering. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Pavan Balaji, Sundeep Narravula, Karthikeyan Vaidyanathan, Hyun-Wook Jin, Dhabaleswar K. Panda 0001 |
On the provision of prioritization and soft qos in dynamically reconfigurable shared data-centers over infiniband. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Murali Vilayannur, Anand Sivasubramaniam, Mahmut T. Kandemir |
Pro-active Page Replacement for Scientific Applications: A Characterization. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Markus Levy |
EEMBC and the Purposes of Embedded Processor Benchmarking. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Jian Li 0059, José F. Martínez |
Power-Performance Implications of Thread-level Parallelism on Chip Multiprocessors. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Hyrum Carroll, J. Kelly Flanagan, Satish Baniya |
A Trace-Driven Simulator For Palm OS Devices. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Laxmi N. Bhuyan |
Anatomy and Performance of SSL Processing. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Annie P. Foong, Jason Fung, Donald Newell, Seth Abraham, Peggy Irelan, Alex Lopez-Estrada |
Architectural Characterization of Processor Affinity in Network Processing. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Jeremy Lau, Erez Perelman, Greg Hamerly, Timothy Sherwood, Brad Calder |
Motivation for Variable Length Intervals and Hierarchical Phase Behavior. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Wei Huang 0032, Jiang Lin, Zhao Zhang 0010, J. Morris Chang |
Performance Characterization of Java Applications on SMT Processors. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Magnus Ekman, Per Stenström |
Enhancing Multiprocessor Architecture Simulation Speed Using Matched-Pair Comparison. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Friman Sánchez, Mauricio Alvarez 0001, Esther Salamí, Alex Ramírez, Mateo Valero |
On the Scalability of 1- and 2-Dimensional SIMD Extensions for Multimedia Applications. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Yongkang Zhu, David H. Albonesi, Alper Buyuktosunoglu |
A High Performance, Energy Efficient GALS ProcessorMicroarchitecture with Reduced Implementation Complexity. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Erik R. Altman |
Panel Discussion: Architectures for the Future. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Jeff Ringenberg, Chris Pelosi, David W. Oehmke, Trevor N. Mudge |
Intrinsic Checkpointing: A Methodology for Decreasing Simulation Time Through Binary Modification. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Kursad Albayraktaroglu, Aamer Jaleel, Xue Wu 0002, Manoj Franklin, Bruce L. Jacob, Chau-Wen Tseng, Donald Yeung |
BioBench: A Benchmark Suite of Bioinformatics Applications. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Ramaswamy Ramaswamy, Ning Weng, Tilman Wolf |
Analysis of Network Processing Workloads. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Jeremy Lau, Jack Sampson, Erez Perelman, Greg Hamerly, Brad Calder |
The Strong correlation Between Code Signatures and Performance. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli |
Balancing Performance and Reliability in the Memory Hierarchy. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Ram Srinivasan, Jeanine E. Cook, Shaun Cooper |
Fast, Accurate Microarchitecture Simulation Using Statistical Phase Detection. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Yuan Zhao, Ken Kennedy |
Scalarization on Short Vector Machines. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas |
Partitioning Multi-Threaded Processors with a Large Number of Threads. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Mihai Budiu, Pedro V. Artigas, Seth Copen Goldstein |
Dataflow: A Complement to Superscalar. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Kevin M. Lepak, Mikko H. Lipasti |
Reaping the Benefit of Temporal Silence to Improve Communication Performance. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, Lizy Kurian John |
Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. |
ISPASS |
2005 |
DBLP DOI BibTeX RDF |
|
1 | Erik Berg, Erik Hagersten |
StatCache: a probabilistic approach to efficient and accurate data locality analysis. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | |
2004 IEEE International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings |
ISPASS |
2004 |
DBLP BibTeX RDF |
|
1 | Jaidev P. Patwardhan, Alvin R. Lebeck, Daniel J. Sorin |
Communication breakdown: analyzing CPU usage in commercial Web workloads. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Liem Tran, Nicholas Nelson 0001, Fung Ngai, Steve Dropsho, Michael C. Huang 0001 |
Dynamically reducing pressure on the physical register file through simple register sharing. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Brad Calder, Daniel Citron, Yale N. Patt, James E. Smith 0001 |
The future of simulation: A field of dreams. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Michael Van Biesbrouck, Timothy Sherwood, Brad Calder |
A co-phase matrix to guide simultaneous multithreading simulation. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Ismail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam |
Compiler-directed physical address generation for reducing dTLB power. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Jeremy Lau, Stefan Schoenmackers, Brad Calder |
Structures for phase classification. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Carl Anderson |
Keynote II. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Leonardo R. Bachega, José R. Brunheroto, Luiz De Rose, Pedro Mindlin, José E. Moreira |
The BlueGene/L pseudo cycle-accurate simulator. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Irina Chihaia, Thomas R. Gross |
Effectiveness of simple memory models for performance prediction. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Pavan Balaji, Sundeep Narravula, Karthikeyan Vaidyanathan, Savitha Krishnamoorthy, Jiesheng Wu, Dhabaleswar K. Panda 0001 |
Sockets Direct Protocol over InfiniBand in clusters: is it beneficial? |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Ying Zheng, Brian T. Davis, Matthew Jordan |
Performance evaluation of exclusive cache hierarchies. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Mikhail Dmitriev 0001 |
Selective profiling of Java applications using dynamic bytecode instrumentation. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Wei Huang 0032, Witawas Srisa-an, J. Morris Chang |
Dynamic pretenuring schemes for generational garbage collection. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | R. Bonilla-Lucas, Peter Plachta, Aamer Sachedina, Daniel Jiménez-González, Calisto Zuzarte, Josep Lluís Larriba-Pey |
Characterization of the data access behavior for TPC-C traces. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Hans Vandierendonck, Koen De Bosschere |
Eccentric and fragile benchmarks. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Lieven Eeckhout |
Efficient architectural design of high performance microprocessors. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Timothy J. Dysart, Branden J. Moore, Lambert Schaelicke, Peter M. Kogge |
Cache implications of aggressively pipelined high performance microprocessors. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Yale N. Patt |
Opening and keynote 1. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Russ Joseph, Margaret Martonosi, Zhigang Hu |
Spectral analysis for characterizing program power and performance. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | W. Wolf |
Architectures and compilers for multimedia. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Gordon B. Bell, Mikko H. Lipasti |
Deconstructing commit. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Rong Xu, Zhiyuan Li 0001 |
Using cache mapping to improve memory performance handheld devices. |
ISPASS |
2004 |
DBLP DOI BibTeX RDF |
|
1 | Jianwei Chen, Michel Dubois 0001, Per Stenström |
Integrating complete-system and user-level performance/power simulators: the SimWattch approach. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Sally A. McKee, Zhen Fang 0002, Mateo Valero |
An MPEG-4 performance study for non-SIMD, general purpose architectures. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Cristina D. Murta, Mario E. Augusto |
Empirical evaluation of capacity estimation tools. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Steven Weber 0001, Rema Hariharan |
A new synthetic web server trace generation methodology. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Wessam Hassanein, Greg Astfalk, Rudolf Eigenmann |
1D performance analysis and tracing of technical and Java applications on the Itanium2 processor. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Praveen Dongara, T. N. Vijaykumar |
Accelerating private-key cryptography via multithreading on symmetric multiprocessors. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Samuel Kounev, Alejandro P. Buchmann |
Performance modelling of distributed e-business applications using Queuing Petri Nets. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Yusuf Hasan, J. Morris Chang |
A hybrid allocator. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Hong Wang 0003, Shiri Manor, Dave LaFollette, Nadav Nesher, Ku-jei King, Perry H. Wang, Shay Levy, Shai Satt, Gal Carmeli, Arjun Kapur, Ioannis Schoinas, Ed Rubinstein, Rahul Bhatt |
Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Sudhanva Gurumurthi, Jianyong Zhang, Anand Sivasubramaniam, Mahmut T. Kandemir, Hubertus Franke, Narayanan Vijaykrishnan, Mary Jane Irwin |
Interplay of energy and performance for disk arrays running transaction processing workloads. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Annie P. Foong, Thomas R. Huff, Herbert H. Hum, Jaidev R. Patwardhan, Greg J. Regnier |
TCP performance re-visited. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Daniela Alvim Seabra dos Santos, Alex Borges Vieira, Berthier A. Ribeiro-Neto, Sérgio Vale Aguiar Campos |
Performance analysis and optimization of a distributed Video on Demand service. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Ravishankar K. Iyer |
Performance implications of chipset caches in web servers. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Joefon Jann, Pratap Pattnaik, Niteesh Dubey, R. Sarma Burugula |
Web applications and dynamic reconfiguration in UNIX servers. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | |
2003 IEEE International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings |
ISPASS |
2003 |
DBLP BibTeX RDF |
|
1 | Yolanda Becerra 0001, Toni Cortes, Jordi Garcia 0001, Nacho Navarro |
Evaluating the importance of virtual memory for Java. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
1 | Geyong Min, Mohamed Ould-Khaoua |
Mathematical modelling of adaptive wormhole routing in the presence of self-similar traffic. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 761 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|