The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MICRO"( http://dblp.L3S.de/Venues/MICRO )

URL (DBLP): http://dblp.uni-trier.de/db/conf/micro

Publication years (Num. hits)
1972 (15) 1973 (34) 1974 (47) 1976-1977 (28) 1978 (29) 1979 (15) 1980 (23) 1981 (21) 1982 (23) 1984 (35) 1985 (21) 1986 (21) 1987 (23) 1988 (26) 1989 (32) 1990 (35) 1991 (27) 1992 (43) 1993 (28) 1994 (28) 1995 (38) 1996 (31) 1997 (36) 1998 (29) 1999 (30) 2000 (35) 2001 (32) 2002 (37) 2003 (38) 2004 (32) 2005 (34) 2006 (43) 2007 (36) 2008 (43) 2009 (55) 2010 (46) 2011 (45) 2012 (41) 2013 (40) 2014 (54) 2015 (62) 2016 (63) 2017 (62) 2018 (75) 2019 (81) 2020 (83) 2021 (95) 2022 (84) 2023 (102)
Publication types (Num. hits)
inproceedings(1986) proceedings(50)
Venues (Conferences, Journals, ...)
MICRO(2036)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 490 occurrences of 311 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Stephen Zekany, Daniel Rings, Nathan Harada, Michael A. Laurenzano, Lingjia Tang, Jason Mars CrystalBall: Statically analyzing runtime behavior via deep sequence learning. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dmitry Evtyushkin, Dmitry V. Ponomarev, Nael B. Abu-Ghazaleh Jump over ASLR: Attacking branch predictors to bypass ASLR. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Biswabandan Panda, André Seznec Dictionary sharing: An efficient cache compression scheme for compressed caches. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Jianfei Wang, Fengfeng Fan, Wenkang Yu, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang Cache-emulated register file: An integrated on-chip memory architecture for high performance GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jason Clemons, Chih-Chi Cheng, Iuri Frosio, Daniel R. Johnson, Stephen W. Keckler A patch memory system for image processing and computer vision. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Izzat El Hajj, Juan Gómez-Luna, Cheng Li 0014, Li-Wen Chang, Dejan S. Milojicic, Wen-mei W. Hwu KLAP: Kernel launch aggregation and promotion for optimizing dynamic parallelism. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Animesh Jain, Michael A. Laurenzano, Lingjia Tang, Jason Mars Continuous shape shifting: Enabling loop co-optimization via near-free dynamic code rewriting. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minsoo Rhu, Natalia Gimelshein, Jason Clemons, Arslan Zulfiqar, Stephen W. Keckler vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Johnathan Alsop, Marc S. Orr, Bradford M. Beckmann, David A. Wood 0001 Lazy release consistency for GPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vaibhav Gogte, Aasheesh Kolli, Michael J. Cafarella, Loris D'Antoni, Thomas F. Wenisch HARE: Hardware accelerator for regular expressions. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jia Zhan, Itir Akgun, Jishen Zhao, Al Davis, Paolo Faraboschi, Yuangang Wang, Yuan Xie 0001 A unified memory network architecture for in-memory computing in commodity servers. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Artem Vasilyev, Nikhil Bhagdikar, Ardavan Pedram, Stephen Richardson, Shahar Kvatinsky, Mark Horowitz Evaluating programmable architectures for imaging and vision applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qingrui Liu, Changhee Jung, Dongyoon Lee, Devesh Tiwari Low-cost soft error resilience with unified data verification and fine-grained recovery for acoustic sensor based detection. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Renhai Chen, Zili Shao, Tao Li 0006 Bridging the I/O performance gap for big data workloads: A new NVDIMM-based approach. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Adrian M. Caulfield, Eric S. Chung, Andrew Putnam, Hari Angepat, Jeremy Fowers, Michael Haselman, Stephen Heil, Matt Humphrey, Puneet Kaur, Joo-Young Kim 0001, Daniel Lo, Todd Massengill, Kalin Ovtcharov, Michael Papamichael, Lisa Woods, Sitaram Lanka, Derek Chiou, Doug Burger A cloud-scale acceleration architecture. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yazhou Zu, Wei Huang 0004, Indrani Paul, Vijay Janapa Reddi Ti-states: Processor power management in the temperature inversion region. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yakun Sophia Shao, Sam Likun Xi, Vijayalakshmi Srinivasan, Gu-Yeon Wei, David M. Brooks Co-designing accelerators and SoC interfaces using gem5-Aladdin. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sean Murray, William Floyd-Jones, Ying Qi, George Dimitri Konidaris, Daniel J. Sorin The microarchitecture of a real-time robot motion planning accelerator. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmed ElTantawy, Tor M. Aamodt MIMD synchronization on SIMT architectures. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nandita Vijaykumar, Kevin Hsieh, Gennady Pekhimenko, Samira Manabi Khan, Ashish Shrestha, Saugata Ghose, Adwait Jog, Phillip B. Gibbons, Onur Mutlu Zorua: A holistic approach to resource virtualization in GPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aasheesh Kolli, Jeff Rosen, Stephan Diestelhorst, Ali G. Saidi, Steven Pelley, Sihang Liu 0001, Peter M. Chen, Thomas F. Wenisch Delegated persist ordering. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gwangsun Kim, Changhyun Kim, Jiyun Jeong, Mike Parker, John Kim Contention-based congestion management in large-scale networks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chia-Chen Chou, Aamer Jaleel, Moinuddin K. Qureshi CANDY: Enabling coherent DRAM caches for multi-node systems. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dimitrios Skarlatos 0002, Renji Thomas, Aditya Agrawal, Shibin Qin, Robert C. N. Pilawa-Podgurski, Ulya R. Karpuzcu, Radu Teodorescu, Nam Sung Kim, Josep Torrellas Snatch: Opportunistically reassigning power allocation between processor and memory in 3D stacks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Milad Hashemi, Onur Mutlu, Yale N. Patt Continuous runahead: Transparent hardware acceleration for memory intensive workloads. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nader Sehatbakhsh, Alireza Nazari, Alenka G. Zajic, Milos Prvulovic Spectral profiling: Observer-effect-free profiling by monitoring EM emanations. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jia Zhan, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Yuan Xie 0001 OSCAR: Orchestrating STT-RAM cache traffic for heterogeneous CPU-GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guowei Zhang 0002, Virginia Chiu, Daniel Sánchez 0003 Exploiting semantic commutativity in hardware speculation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shijin Zhang, Zidong Du, Lei Zhang 0008, Huiying Lan, Shaoli Liu, Ling Li 0001, Qi Guo 0001, Tianshi Chen 0002, Yunji Chen Cambricon-X: An accelerator for sparse neural networks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tamara Silbergleit Lehman, Andrew D. Hilton, Benjamin C. Lee PoisonIvy: Safe speculation for secure memory. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Patrick Judd, Jorge Albericio, Tayler H. Hetherington, Tor M. Aamodt, Andreas Moshovos Stripes: Bit-serial deep neural network computing. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Reza Yazdani, Albert Segura, José-María Arnau, Antonio González 0001 An ultra low-power hardware accelerator for automatic speech recognition. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mark C. Jeffrey, Suvinay Subramanian, Maleen Abeydeera, Joel S. Emer, Daniel Sánchez 0003 Data-centric execution of speculative parallel programs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Margaret Martonosi Keynotes: Internet of Things: History and hype, technology and policy. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hadi Asghari Moghaddam, Young Hoon Son, Jung Ho Ahn, Nam Sung Kim Chameleon: Versatile and practical near-DRAM acceleration architecture for large memory systems. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Animesh Jain, Parker Hill, Shih-Chieh Lin, Muneeb Khan, Md. Enamul Haque, Michael A. Laurenzano, Scott A. Mahlke, Lingjia Tang, Jason Mars Concise loads and stores: The case for an asymmetric compute-memory architecture for approximation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alexandros Daglis, Dmitrii Ustiugov, Stanko Novakovic, Edouard Bugnion, Babak Falsafi, Boris Grot SABRes: Atomic object reads for in-memory rack-scale computing. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jinchun Kim, Seth H. Pugsley, Paul V. Gratz, A. L. Narasimha Reddy, Chris Wilkerson, Zeshan Chishti Path confidence based lookahead prefetching. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Joshua San Miguel, Jorge Albericio, Natalie D. Enright Jerger, Aamer Jaleel The Bunker Cache for spatio-value approximation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yonatan Gottesman, Yoav Etsion NeSC: Self-virtualizing nested storage controller. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xulong Tang, Mahmut T. Kandemir, Praveen Yedlapalli, Jagadish Kotra Improving bank-level parallelism for irregular applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Radha Venkatagiri, Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Sarita V. Adve Approxilyzer: Towards a systematic framework for instruction-level approximate computing and its application to hardware resiliency. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 49th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2016, Taipei, Taiwan, October 15-19, 2016 Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  BibTeX  RDF
1Prathmesh Kallurkar, Smruti R. Sarangi pTask: A smart prefetching scheme for OS intensive applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dominic DiTomaso, Travis Boraten, Avinash Kodi, Ahmed Louri Dynamic error mitigation in NoCs using intelligent prediction techniques. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jiwei Liu, Jun Yang 0002, Rami G. Melhem SAWS: synchronization aware GPGPU warp scheduling for multiple independent warp schedulers. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Harshad Kasture, Davide B. Bartolini, Nathan Beckmann, Daniel Sánchez 0003 Rubik: fast analytical power management for latency-critical systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel Lo, Taejoon Song, G. Edward Suh Prediction-guided performance-energy trade-off for interactive applications. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Angelos Arelakis, Fredrik Dahlgren, Per Stenström HyComp: a hybrid cache compression method for selection of data-type-specific compression methods. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yanwei Song, Engin Ipek More is less: improving the energy efficiency of data movement via opportunistic use of sparse codes. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manjunath Shevgoor, Sahil Koladiya, Rajeev Balasubramonian, Chris Wilkerson, Seth H. Pugsley, Zeshan Chishti Efficiently prefetching complex address patterns. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lena E. Olson, Jason Power, Mark D. Hill, David A. Wood 0001 Border control: sandboxing accelerators. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1William Arthur, Sahil Madeka, Reetuparna Das, Todd M. Austin Locking down insecure indirection with hardware-based control-data isolation. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jingwen Leng, Alper Buyuktosunoglu, Ramon Bertran, Pradip Bose, Vijay Janapa Reddi Safe limits on voltage reduction efficiency in GPUs: a direct measurement approach. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andreas Sembrant, Trevor E. Carlson, Erik Hagersten, David Black-Schaffer, Arthur Perais, André Seznec, Pierre Michaud Long term parking (LTP): criticality-aware resource allocation in OOO processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Enrique de Lucas, Pedro Marcuello, Joan-Manuel Parcerisa, Antonio González 0001 Ultra-low power render-based collision detection for CPU/GPU systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dani Voitsechov, Yoav Etsion Control flow coalescing on a hybrid dataflow/von Neumann GPGPU. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ajaykumar Kannan, Natalie D. Enright Jerger, Gabriel H. Loh Enabling interposer-based disintegration of multi-core processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel S. McFarlin, Craig B. Zilles Bungee jumps: accelerating indirect branches through HW/SW co-design. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuhao Zhu 0001, Daniel Richins, Matthew Halpern, Vijay Janapa Reddi Microarchitectural implications of event-driven server-side web applications. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tao Chen 0045, Alexander Rucker, G. Edward Suh Execution time prediction for energy-efficient hardware accelerators. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuanwei Fang, Tung Thanh Hoang, Michela Becchi, Andrew A. Chien Fast support for unstructured data processing: the unified automata processor. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vivek Seshadri, Thomas Mullins, Amirali Boroumand, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Gather-scatter DRAM: in-DRAM address translation to improve the spatial locality of non-unit strided accesses. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Srinivas Devadas IMP: indirect memory prefetcher. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Guowei Zhang 0002, Webb Horn, Daniel Sánchez 0003 Exploiting commutativity to reduce the cost of updates to shared data in cache-coherent systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daehoon Kim, Hwanju Kim, Nam Sung Kim, Jaehyuk Huh 0001 vCache: architectural support for transparent and isolated virtual LLCs in virtualized environments. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Guoyang Chen, Xipeng Shen Free launch: optimizing GPU dynamic kernel launches through thread reuse. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joshua San Miguel, Jorge Albericio, Andreas Moshovos, Natalie D. Enright Jerger Doppelgänger: a cache for approximate computing. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jinglei Ren, Jishen Zhao, Samira Manabi Khan, Jongmoo Choi, Yongwei Wu, Onur Mutlu ThyNVM: enabling software-transparent crash consistency in persistent memory systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zidong Du, Daniel D. Ben-Dayan Rubin, Yunji Chen, Liqiang He, Tianshi Chen 0002, Lei Zhang 0008, Chengyong Wu, Olivier Temam Neuromorphic accelerators: a comparison between neuroscience and machine-learning approaches. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Steven Raasch, Arijit Biswas, Jon Stephan, Paul Racunas, Joel S. Emer A fast and accurate analytical technique to compute the AVF of sequential bits in a processor. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Milad Hashemi, Yale N. Patt Filtered runahead execution with a runahead buffer. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tae Jun Ham, Juan L. Aragón, Margaret Martonosi DeSC: decoupled supply-compute communication management for heterogeneous architectures. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cansu Kaynak, Boris Grot, Babak Falsafi Confluence: unified instruction supply for scale-out servers. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xian Zhang 0001, Guangyu Sun 0003, Chao Zhang 0007, Weiqi Zhang, Yun Liang 0001, Tao Wang 0004, Yiran Chen 0001, Jia Di Fork path: improving efficiency of ORAM by removing redundant memory accesses. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lavanya Subramanian, Vivek Seshadri, Arnab Ghosh, Samira Manabi Khan, Onur Mutlu The application slowdown model: quantifying and controlling the impact of inter-application interference at shared caches and main memory. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Islam Atta, Xin Tong 0005, Vijayalakshmi Srinivasan, Ioana Baldini, Andreas Moshovos Self-contained, accurate precomputation prefetching. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matthew D. Sinclair, Johnathan Alsop, Sarita V. Adve Efficient GPU synchronization without scopes: saying no to complex consistency models. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shih-Lien Lu, Ying-Chen Lin, Chia-Lin Yang Improving DRAM latency with dynamic asymmetric subarray. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abhayendra Singh, Shaizeen Aga, Satish Narayanasamy Efficiently enforcing strong memory ordering in GPUs. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaehyung Ahn, Dongup Kwon, Youngsok Kim, Mohammadamin Ajdari, Jaewon Lee, Jangwoo Kim DCS: a fast and scalable device-centric server architecture. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Shafiee, Akhila Gundu, Manjunath Shevgoor, Rajeev Balasubramonian, Mohit Tiwari Avoiding information leakage in the memory controller with fixed service policies. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Qi Guo 0001, Tze Meng Low, Nikolaos Alachiotis 0001, Berkin Akin, Larry T. Pileggi, James C. Hoe, Franz Franchetti Enabling portable energy efficiency with memory accelerated library. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tri Minh Nguyen 0003, David Wentzlaff MORC: a manycore-oriented compressed cache. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaolong Xie, Yun Liang 0001, Xiuhong Li, Yudong Wu, Guangyu Sun 0003, Tao Wang 0004, Dongrui Fan Enabling coordinated register allocation and thread-level parallelism optimization for GPUs. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anys Bacha, Radu Teodorescu Authenticache: harnessing cache ECC for system authentication. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amir Yazdanbakhsh, Jongse Park, Hardik Sharma, Pejman Lotfi-Kamran, Hadi Esmaeilzadeh Neural acceleration for GPU throughput processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seong-Lyong Gong, Minsoo Rhu, Jungrae Kim, Jinsuk Chung, Mattan Erez CLEAN-ECC: high reliability ECC for adaptive granularity memory system. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1André Seznec, Joshua San Miguel, Jorge Albericio The inner most loop iteration counter: a new dimension in branch history. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Milos Prvulovic (eds.) Proceedings of the 48th International Symposium on Microarchitecture, MICRO 2015, Waikiki, HI, USA, December 5-9, 2015 Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Panagiota Nikolaou, Yiannakis Sazeides, Lorena Ndreu, Marios Kleanthous Modeling the implications of DRAM failures and protection techniques on datacenter TCO. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gwangmu Lee, Hyunjoon Park, Seonyeong Heo, Kyung-Ah Chang, Hyogun Lee, Hanjun Kim 0001 Architecture-aware automatic computation offload for native applications. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mark C. Jeffrey, Suvinay Subramanian, Cong Yan, Joel S. Emer, Daniel Sánchez 0003 A scalable architecture for ordered parallelism. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Newsha Ardalani, Clint Lestourgeon, Karthikeyan Sankaralingam, Xiaojin Zhu 0001 Cross-architecture performance prediction (XAPP) using CPU code to predict GPU performance. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyeran Jeon, Gokul Subramanian Ravi, Nam Sung Kim, Murali Annavaram GPU register file virtualization. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1John Kloosterman, Jonathan Beaumont, Mick Wollman, Ankit Sethia, Ronald G. Dreslinski, Trevor N. Mudge, Scott A. Mahlke WarpPool: sharing requests with inter-warp coalescing for throughput processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi CCICheck: using µhb graphs to verify the coherence-consistency interface. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arpit Joshi, Vijay Nagarajan, Marcelo Cintra, Stratis Viglas Efficient persist barriers for multicores. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Balajee Vamanan, Hamza Bin Sohail, Jahangir Hasan, T. N. Vijaykumar TimeTrader: exploiting latency tail to save datacenter energy for online search. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Farzad Khorasani, Rajiv Gupta 0001, Laxmi N. Bhuyan Efficient warp execution in presence of divergence with collaborative context collection. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license