The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Victor Tomashevich, Yaara Neumeier, Raghavan Kumar, Osnat Keren, Ilia Polian Protecting cryptographic hardware against malicious attacks by nonlinear robust codes. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jahanzeb Anwer, Marco Platzner Analytic reliability evaluation for fault-tolerant circuit structures on FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Masoumeh Ebrahimi, Junshi Wang, Letian Huang, Masoud Daneshtalab, Axel Jantsch Rescuing healthy cores against disabled routers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Pilin Junsangsri, Jie Han 0001, Fabrizio Lombardi A system-level scheme for resistance drift tolerance of a multilevel phase change memory. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohit Thomas, Rahul Thomas, Israel Koren, Zahava Koren Improved correction for hot pixels in digital imagers. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Halit Dogan, Domenic Forte, Mark Mohammad Tehranipoor Aging analysis for recycled FPGA detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Paniz Foroutan, Mehdi Kamal, Zainalabedin Navabi A heuristic path selection method for small delay defects test. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Artificial intelligence based task mapping and pipelined scheduling for checkpointing on real time systems with imperfect fault detection. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mohammad Hashem Haghbayan, Bijan Alizadeh, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Automated formal approach for debugging dividers using dynamic specification. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Paolo Bernardi, Riccardo Cantoro, Lyl M. Ciganda Brasca, Ernesto Sánchez 0001, Matteo Sonza Reorda, Sergio de Luca, Renato Meregalli, Alessandro Sansonetti On the in-field functional testing of decode units in pipelined RISC processors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Md. Tauhidur Rahman 0001, Domenic Forte, Quihang Shi, Gustavo K. Contreras, Mark Mohammad Tehranipoor CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jorge Semião, David Saraiva, Carlos Leong, André Romão, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Performance sensor for tolerance and predictive detection of delay-faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Shahrzad Keshavarz, Amirreza Nekooei, Zainalabedin Navabi Preemptive multi-bit IJTAG testing with reconfigurable infrastructure. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Martin Omaña 0001, Daniele Rossi 0001, Edda Beniamino, Cecilia Metra, Chandra Tirumurti, Rajesh Galivanche Power droop reduction during Launch-On-Shift scan-based logic BIST. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Stefano Di Carlo, Marco Indaco, Paolo Prinetto, Elena I. Vatajelu, Rosa Rodríguez-Montañés, Joan Figueras Reliability estimation at block-level granularity of spin-transfer-torque MRAMs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis A probabilistic analysis of resilient reconfigurable designs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Florian Haas, Sebastian Weis, Stefan Metzlaff, Theo Ungerer Exploiting Intel TSX for fault-tolerant execution in safety-critical systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Energy-efficient concurrent testing approach for many-core systems in the dark silicon age. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Daniel A. G. de Oliveira, Paolo Rech, Laércio Lima Pilla, Philippe Olivier Alexandre Navaux, Luigi Carro GPGPUs ECC efficiency and efficacy. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Prashant D. Joshi, Said Hamdioui Security methods in fault tolerant modified line graph based networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Miao Tony He, Mohammad Tehranipoor SAM: A comprehensive mechanism for accessing embedded sensors in modern SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bahareh J. Farahani, Saeed Safari An instance-based SER analysis in the presence of PVTA variations. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Prashant D. Joshi, Said Hamdioui Shortest path reduction in a class of uniform fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bartolomeo Montrucchio, Maurizio Rebaudengo, Alejandro Velasco Fault injection in the process descriptor of a Unix-based operating system. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Tiago A. O. Alves, Leandro A. J. Marzulo, Sandip Kundu, Felipe Maia Galvão França Domino effect protection on dataflow error detection and recovery. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Senwen Kan, Jennifer Dworak Triggering Trojans in SRAM circuits with X-propagation. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Angelo Bacchini, Marco Rovatti, Gianluca Furano, Marco Ottavi Characterization of data retention faults in DRAM devices. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Hossein Sayadi, Hamed Farbeh, Amir Mahdi Hosseini Monazzah, Seyed Ghassem Miremadi A data recomputation approach for reliability improvement of scratchpad memory in embedded systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Yongsuk Choi, Chun-hsiang Chang, In-Seok Jung, Marvin Onabajo, Yong-Bin Kim A built-in calibration system with a reduced FFT engine for linearity optimization of low power LNA. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Chuanlei Zheng, Shuai Wang 0006 Characterizing soft error vulnerability of cache coherence protocols for chip-multiprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Manoj Kumar 0001, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Masoumeh Ebrahimi, Mark Zwolinski Fault tolerant and highly adaptive routing for 2D NoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Hassen Aziza, Haithem Ayari, Santhosh Onkaraiah, Jean-Michel Portal, Mathieu Moreau, Marc Bocquet Oxide based resistive RAM: ON/OFF resistance analysis versus circuit variability. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Wei Wei 0034, Fabrizio Lombardi, Kazuteru Namba Designs and analysis of non-volatile memory cells for single event upset (SEU) tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mirjana Pavlovic, John Mayfield 0001, Bela Balint Nanotechnology and Its Application in Medicine. Search on Bibsonomy Handbook of Medical and Healthcare Technologies The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Balamuralithara Balakrishnan, Pek Hoon Er, Punita Visvanathan Socio-ethical Education in Nanotechnology Engineering Programmes: A Case Study in Malaysia. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Manish Anand, N. Deepa Understanding trends and changes in media coverage of Nanotechnology in India. Search on Bibsonomy J. Sci. Res. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Fereshteh Didegah, Mike Thelwall Determinants of research citation impact in nanoscience and nanotechnology. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Li Tang 0005, Guangyuan Hu Tracing the footprint of knowledge spillover: Evidence from U.S.-China collaboration in nanotechnology. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Stuart Fitz-Gerald Nanotechnology: Ethical and Social Implications, A.S. Khan (Ed.). CRC Press (2012), ISBN: 978-1-4398-5953-7. Search on Bibsonomy Int. J. Inf. Manag. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ezzat G. Bakhoum, Marvin H. M. Cheng Miniature Carbon Monoxide Detector Based on Nanotechnology. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sepehr Ghazinoory, Maryam Daneshmand-Mehr, Arash Azadegan Technology selection: application of the PROMETHEE in determining preferences - a real case of nanotechnology in Iran. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Nadine Hoser Public funding in the academic field of nanotechnology: a multi-agent based model. Search on Bibsonomy Comput. Math. Organ. Theory The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Nina Granqvist, Stine Grodal, Jennifer L. Woolley Hedging Your Bets: Explaining Executives' Market Labeling Strategies in Nanotechnology. Search on Bibsonomy Organ. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jerry Wu, Yin-Lin Shen, Kitt Reinhardt, Harold H. Szu, Boqun Dong A Nanotechnology Enhancement to Moore's Law. Search on Bibsonomy Appl. Comput. Intell. Soft Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Nazrul Islam 0002, Sercan Ozcan Nanotechnology Innovation System: An Empirical Analysis of the Emerging Actors and Collaborative Networks. Search on Bibsonomy IEEE Trans. Engineering Management The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Qingjun Zhao, Jiancheng Guan Love dynamics between science and technology: some evidences in nanoscience and nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Rizwan S. Bajwa, K. Yaldram, S. Rafique A scientometric assessment of research output in nanoscience and nanotechnology: Pakistan perspective. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sanjay K. Arora, Alan L. Porter, Jan L. Youtie, Philip Shapira Capturing new developments in an emerging technology: an updated search strategy for identifying nanotechnology research outputs. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Loet Leydesdorff An evaluation of impacts in "Nanoscience & nanotechnology": steps towards standards for citation analysis. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Young-Don Cho, Hoo-Gon Choi Principal parameters affecting R&D exploitation of nanotechnology research: a case for Korea. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17María Antonia Ovalle-Perandones, Juan Gorraiz, Martin Wieland, Christian Gumpenberger, Carlos Olmeda-Gómez The influence of European Framework Programmes on scientific collaboration in nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gregory L. Damhorst, Nicholas N. Watkins, Rashid Bashir Micro- and Nanotechnology for HIV/AIDS Diagnostics in Resource-Limited Settings. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Audrey Samson, Kristina Andersen Tassophonics: Nanotechnology as the Magical Unknown. Search on Bibsonomy HCI (11) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Alexios Thanos, Haridimos T. Vergos Fast parallel-prefix Ling-carry adders in QCA nanotechnology. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shan Jiang 0002, Qiang Gao, Hsinchun Chen Statistical Modeling of Nanotechnology Knowledge Diffusion Networks. Search on Bibsonomy ICIS The full citation details ... 2013 DBLP  BibTeX  RDF
17Mircea R. Stan, Mehdi Kabir, Jiwei Lu, Stuart A. Wolf Nano-pattemed coupled spin torque nano oscillator (STNO) arrays - A potentially disruptive multipurpose nanotechnology. Search on Bibsonomy NEWCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kelsey Joy Rodgers, Heidi A. Diefes-Dux, Krishna Madhavan Case studies: First-Year engineering nanotechnology-based design projects. Search on Bibsonomy FIE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2-4, 2013 Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  BibTeX  RDF
17Yu-Hsuan Hsiao, Meng-Lin Tsai, Jr-Hau He GaN-based multiple quantum well light-emitting-diodes employing nanotechnology for photon management. Search on Bibsonomy IAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Farid Sidi-Boumedine La recherche pharmaceutique à l'épreuve des pratiques communicationnelles. L'invention d'un médicament issu des nanotechnologies. (Pharmaceutical research and communicative practices. The invention of a nanotechnology drug). Search on Bibsonomy 2013   RDF
17Yu Meng Collaboration patterns and patenting in nanotechnology: exploring gender distinctions. Search on Bibsonomy 2013   RDF
17Kundan Nepal, Xi Shen, Jennifer Dworak, Theodore W. Manikas, R. Iris Bahar Built-in Self-Repair in a 3D die stack using programmable logic. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Emanuel Dogaru, Filipe Vinci dos Santos, William Rebernak LMS-based RF BIST architecture for multistandard transmitters. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chen Liu 0013, Jeyavijayan Rajendran, Chengmo Yang, Ramesh Karri Shielding heterogeneous MPSoCs from untrustworthy 3PIPs through security-driven task scheduling. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Caleb Serafy, Ankur Srivastava 0001 Online TSV health monitoring and built-in self-repair to overcome aging. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Lanfang Tan, Ying Tan, Jianjun Xu CFEDR: Control-flow error detection and recovery using encoded signatures monitoring. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jianli Li, Qingping Tan SmartInjector: Exploiting intelligent fault injection for SDC rate analysis. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Alexandre Sarafianos, Mathieu Lisart, Olivier Gagliano, Valerie Serradeil, Cyril Roscian, Jean-Max Dutertre, Assia Tria Robustness improvement of an SRAM cell against laser-induced fault injection. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Rafal Baranowski, Alejandro Cook, Michael E. Imhof, Chang Liu 0010, Hans-Joachim Wunderlich Synthesis of workload monitors for on-line stress prediction. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Qiaoyan Yu, Jonathan Frey Exploiting error control approaches for Hardware Trojans on Network-on-Chip links. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohit Thomas, Israel Koren, Zahava Koren Improved image accuracy in Hot Pixel degraded digital cameras. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sushmita Kadiyala Rao, Ryan W. Robucci, Chintan Patel Framework for dynamic estimation of power-supply noise and path delay. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Meilin Zhang, Paul Ampadu Variation-tolerant cache by two-layer error control codes. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kouta Maebashi, Kazuteru Namba, Masato Kitakami Testing of switch blocks in TSV-reduced Three-Dimensional FPGA. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kazuteru Namba, Fabrizio Lombardi A novel scheme for concurrent error detection of OLS parallel decoders. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Pedro Reviriego, Shih-Fu Liu, Juan Antonio Maestro, S. Lee, Nur A. Touba, Rudrajit Datta Implementing triple adjacent Error Correction in double error correction Orthogonal Latin Squares Codes. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Hassan Ghasemzadeh Mohammadi, Pierre-Emmanuel Gaillardon, Majid Yazdani, Giovanni De Micheli A fast TCAD-based methodology for Variation analysis of emerging nano-devices. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Elena I. Vatajelu, Georgios Tsiligiannis, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Aida Todri, Arnaud Virazel, Frederic Wrobel, Frédéric Saigné On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ting An, Lirida Alves de Barros Naviner, Philippe Matherat A low cost reliable architecture for S-Boxes in AES processors. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Matheus T. Moreira, Bruno S. Oliveira, Fernando Gehm Moraes, Ney Laert Vilar Calazans Charge sharing aware NCL gates design. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael DaemonGuard: O/S-assisted selective software-based Self-Testing for multi-core systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Aleksandar Simevski, Rolf Kraemer, Milos Krstic Automated integration of fault injection into the ASIC design flow. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Arwa Ben Dhia, Lirida A. B. Naviner, Philippe Matherat Evaluating CLB designs under multiple SETs in SRAM-based FPGAs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Saif-Ur Rehman, Mounir Benabdenbi, Lorena Anghel BIST for logic and local interconnect resources in a novel mesh of cluster FPGA. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kun Bian, D. M. H. Walker, Sunil P. Khatri, Shayak Lahiri Mixed structural-functional path delay test generation and compaction. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Muhammad Aamir Khan 0002, Hans G. Kerkhoff Analysing degradation effects in charge-redistribution SAR ADCs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Atefe Dalirsani, Michael A. Kochte, Hans-Joachim Wunderlich SAT-based code synthesis for fault-secure circuits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Veit Kleeberger, Daniel Mueller-Gritschneder, Ulf Schlichtmann Technology-aware system failure analysis in the presence of soft errors by Mixture Importance Sampling. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Rance Rodrigues, Sandip Kundu A low power architecture for online detection of execution errors in SMT processors. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Manoj Kumar 0001, Pankaj Kumar Srivastava, Vijay Laxmi, Manoj Singh Gaur, Seok-Bum Ko Reconfigurable distributed fault tolerant routing algorithm for on-chip networks. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Naseef Mansoor, Amlan Ganguly, Manoj Prashanth Yuvaraj An energy-efficient and robust millimeter-wave Wireless Network-on-Chip architecture. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Soroush Khaleghi, Wenjing Rao Spare sharing network enhancement for scalable systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kaikai Liu, Hao Cai, Ting An, Lirida A. B. Naviner, Jean-François Naviner, Hervé Petit Reliability analysis of combinational circuits with the influences of noise and single-event transients. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Masayoshi Yoshimura, Amy Ogita, Toshinori Hosokawa A smart Trojan circuit and smart attack method in AES encryption circuits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi Impact of PVT variation on delay test of resistive open and resistive bridge defects. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Alexandru Paler, Josef Kinseher, Ilia Polian, John P. Hayes Approximate simulation of circuits with probabilistic behavior. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jianghao Guo, Qiang Han, Wen-Ben Jone, Yu-Liang Wu A cross-layer fault-tolerant design method for high manufacturing yield and system reliability. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Patryk Skoncej Fault Injection Framework for embedded memories. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Natesh Ganesh, Neal G. Anderson On-chip error correction with unreliable decoders: Fundamental physical limits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba Efficient compression of x-masking control data via dynamic channel allocation. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license